aboutsummaryrefslogtreecommitdiffstats
path: root/common/timing.cc
Commit message (Collapse)AuthorAgeFilesLines
* timing: Fix domain init when loops are presentgatecat2021-04-131-58/+71
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Integration tweaksgatecat2021-03-051-4/+6
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Skip route delays for unplaced/nullptr cellsgatecat2021-03-041-1/+6
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Replace all users of criticality with new enginegatecat2021-03-041-168/+8
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Use new engine for HeAPgatecat2021-03-041-3/+6
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Add support for critical path printinggatecat2021-03-041-0/+62
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Slack and criticality computationgatecat2021-03-041-0/+40
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Produce plausible Fmax figuregatecat2021-03-041-1/+11
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Add Fmax printing for debugginggatecat2021-03-041-0/+21
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Add backwards path walkinggatecat2021-03-041-1/+65
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Add forward path walkinggatecat2021-03-041-0/+90
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Compute domain pairsgatecat2021-03-041-8/+25
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Add port-domain trackinggatecat2021-03-041-0/+88
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Add topological sort from Yosysgatecat2021-03-041-0/+40
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* timing: Import cell delays to our own structuresgatecat2021-03-041-0/+98
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* Demote the 'no clocks' warning to info and make clearergatecat2021-02-201-1/+1
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* Replace DelayInfo with DelayPair/DelayQuadgatecat2021-02-191-9/+9
| | | | | | | | | | | | | | | | | This replaces the arch-specific DelayInfo structure with new DelayPair (min/max only) and DelayQuad (min/max for both rise and fall) structures that form part of common code. This further reduces the amount of arch-specific code; and also provides useful data structures for timing analysis which will need to delay with pairs/quads of delays as it is improved. While there may be a small performance cost to arches that didn't separate the rise/fall cases (arches that aren't currently separating the min/max cases just need to be fixed...) in DelayInfo, my expectation is that inlining will mean this doesn't make much difference. Signed-off-by: gatecat <gatecat@ds0.me>
* Start making use of getBelPinsForCellPin APIgatecat2021-02-101-1/+1
| | | | | | | | | | | | This replaces getNetinfoSinkWire with 3 new functions for different use cases. At the moment all existing code has been moved to getNetinfoSinkWire with phys_idx=0 so the build doesn't break; but this won't yet function properly with more than one sink. But it provides a base on which to work on refactoring the routers to support this case. Signed-off-by: gatecat <gatecat@ds0.me>
* refactor: Replace getXName().c_str(ctx) with ctx->nameOfXD. Shah2021-02-021-2/+1
| | | | | | This makes the ongoing migration to IdStringList easier. Signed-off-by: D. Shah <dave@ds0.me>
* cleanup: Spelling fixesD. Shah2021-01-281-1/+2
| | | | Signed-off-by: D. Shah <dave@ds0.me>
* cleanup: Remove dead/unused codeD. Shah2021-01-281-28/+2
| | | | | | | Note that some '#if 0' code that might still be useful for debugging in the future has been retained. Signed-off-by: D. Shah <dave@ds0.me>
* No longer need fstream includeRoss Schlaikjer2020-08-301-1/+0
|
* Only print filenames for now, default onRoss Schlaikjer2020-08-301-54/+7
|
* Add option to print critical path source codeRoss Schlaikjer2020-08-301-0/+78
| | | | | | | In order to make debugging the critical path easier, add an option that will log the location each net was defined, if known. If the file that contains the definition is known, and is readable, also print the part of the source HDL responsible for the signal definition.
* clangformatDavid Shah2020-08-201-1/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* timing: Fix counting of fanin in out-of-context modeDavid Shah2020-08-121-2/+17
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Fix embarassing use of topographical when meaning topologicalEddie Hung2020-05-141-16/+16
|
* timing: Improve robustness to dangling/undriven logicDavid Shah2020-03-171-0/+10
| | | | Signed-off-by: David Shah <dave@ds0.me>
* timing: Fix critical path walking for ECP5David Shah2019-11-181-2/+1
| | | | Signed-off-by: David Shah <dave@ds0.me>
* timing: Improve support for out-of-context flowsDavid Shah2019-08-071-1/+9
| | | | Signed-off-by: David Shah <dave@ds0.me>
* clangformat runMiodrag Milanovic2019-06-251-4/+6
|
* Merge masterMiodrag Milanovic2019-06-251-2/+3
|\
| * clangformatDavid Shah2019-06-241-1/+2
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * timing: Add missing std::minDavid Shah2019-06-231-1/+1
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * Fix missing \n in log statement.whitequark2019-06-041-1/+1
| |
* | moved some context variables to settingsMiodrag Milanovic2019-06-151-10/+10
|/
* clangformatDavid Shah2019-03-221-3/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* HeAP: Add PlacerHeapCfgDavid Shah2019-03-221-1/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* placer1: TweaksDavid Shah2019-03-221-2/+1
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* Add flag timing-allow-fail to allow PnR to pass with warningMiodrag Milanovic2019-03-041-0/+4
|
* timing: Fix negative slack overflow issueDavid Shah2019-02-251-2/+3
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Router performance improvementsDavid Shah2019-02-251-0/+4
| | | | Signed-off-by: David Shah <dave@ds0.me>
* [timing] Fix off-by-one errorEddie Hung2019-02-111-1/+1
|
* Merge pull request #226 from YosysHQ/190207Eddie Hung2019-02-091-2/+1
|\ | | | | Fix slack_histogram computation accessing num_bins+1
| * Fix slack_histogram computation accessing num_bins+1Eddie Hung2019-02-071-2/+1
| |
* | timing: Add --ignore-loops optionDavid Shah2019-02-051-1/+1
|/ | | | Signed-off-by: David Shah <dave@ds0.me>
* timing: Path related fixesDavid Shah2019-01-271-2/+1
| | | | Signed-off-by: David Shah <dave@ds0.me>
* timing: Fix critical path printDavid Shah2018-12-131-10/+19
| | | | Signed-off-by: David Shah <dave@ds0.me>
* timing: Fix xclock crit calc and compiler warningsDavid Shah2018-12-061-7/+5
| | | | Signed-off-by: David Shah <dave@ds0.me>
* timing_opt: Improve heuristicsDavid Shah2018-12-061-1/+6
| | | | Signed-off-by: David Shah <dave@ds0.me>