aboutsummaryrefslogtreecommitdiffstats
path: root/gowin/arch.cc
Commit message (Expand)AuthorAgeFilesLines
* refactor: New member functions to replace design_utilsgatecat2022-02-181-3/+3
* refactor: Use constids instead of id("..")gatecat2022-02-161-12/+12
* gowin: Remove leftover debuggingYRabbit2022-02-041-1/+0
* Merge branch 'master' into diff-locationsYRabbit2022-02-041-9/+223
|\
| * gowin: Add GUI.YRabbit2022-01-291-9/+223
* | gowin: Add a DS location recognitionYRabbit2022-02-031-12/+18
|/
* gowin: Fix last MUX8YRabbit2022-01-031-1/+1
* gowin: Add simplified IO cells processingYRabbit2021-12-201-0/+33
* archapi: Use arbitrary rather than actual placement in predictDelaygatecat2021-12-191-4/+5
* gowin: Fix spelling of messagesYRabbit2021-12-141-4/+4
* gowin: Check the chipdb versionYRabbit2021-11-071-1/+5
* gowin: Use speed from chip base.YRabbit2021-11-051-14/+25
* gowin: Add partnumbers and packages to the chipdbYRabbit2021-11-041-4/+19
* Gowin: more clearly mark dummy pipsPepijn de Vos2021-10-101-2/+2
* gowin: Replace the zero delays with reasonable values.YRabbit2021-10-091-12/+35
* gowin: add support for wide LUTs.YRabbit2021-10-071-8/+100
* gowin: Place DFFs of different types in the slice.YRabbit2021-08-311-2/+26
* gowin: Add constraints on primitive placement.YRabbit2021-08-311-11/+32
* gowin: Add the IO[TRBL]style placement recognitionYRabbit2021-08-231-5/+37
* gowin: Change the constraint parser to support multiple options per line. Add...YRabbit2021-08-061-6/+11
* Fix the boolean.YRabbit2021-07-081-1/+1
* Fix formatingYRabbit2021-07-071-24/+24
* Fix boolean value.YRabbit2021-07-071-1/+1
* Wip parserYRabbit2021-07-071-16/+4
* ParserYRabbit2021-07-051-0/+9
* Fix parser. Comments and IO_PORTYRabbit2021-07-031-11/+9
* SyntaxYRabbit2021-07-021-3/+3
* Add IO_PORT parsingYRabbit2021-07-021-14/+27
* Fixing old emails and names in copyrightsgatecat2021-06-121-1/+1
* Use hashlib for core netlist structuresgatecat2021-06-021-2/+2
* only one type of dff per slicePepijn de Vos2021-02-281-1/+7
* Fix compiler warnings introduced by -Wextragatecat2021-02-251-16/+16
* Change CellInfo in getBelPinsForCellPin to be const.Keith Rothman2021-02-231-1/+1
* Replace DelayInfo with DelayPair/DelayQuadgatecat2021-02-191-33/+23
* gowin: Fix archcheck errors and add to CIgatecat2021-02-171-1/+11
* gowin: Fix IdStrings being overwritten by wireToGlobalgatecat2021-02-171-8/+8
* Remove isValidBelForCellgatecat2021-02-161-15/+0
* Add getBelPinsForCellPin to Arch APIgatecat2021-02-101-0/+2
* gowin: Switch to BaseArchD. Shah2021-02-051-40/+2
* Mark IdString and IdStringList single argument constructors explicit.Keith Rothman2021-02-041-19/+18
* gowin: Stub implementation of IdStringListD. Shah2021-02-021-14/+14
* Run "make clangformat".Keith Rothman2021-02-021-2/+2
* Add Partition APIs to ice40, nexus, gowin archs.Keith Rothman2021-02-021-0/+9
* clangformatDavid Shah2020-12-301-16/+11
* Gowin target (#542)Pepijn de Vos2020-12-301-0/+1237