aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/lut/lut.v
blob: ca18e665d7d71cd502d11a5540857a1f3bbbd2f7 (plain)
1
2
3
4
5
module top(input i0, input i1, output o);

assign o = i0 | i1;

endmodule