aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/lut_nexus/lut.v
blob: 5913aff123cf98825c4d0678277b4757c0384f53 (plain)
1
2
3
4
5
6
7
module top;
	wire x, y;
	(*keep*)
	LUT4 lut_0(.A(x), .B(x), .C(x), .D(x), .Z(y));
	(*keep*)
	LUT4 lut_1(.A(y), .B(y), .C(y), .D(y), .Z(x));
endmodule