aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/wire/wire.v
blob: 429d05ff284b2252c4abf9e71d607e460ebca8c7 (plain)
1
2
3
4
5
module top(input i, output o);

assign o = i;

endmodule