aboutsummaryrefslogtreecommitdiffstats
path: root/generic/synth/cells_map.v
blob: adbccb5214f009c174822a2b2655b239a82a06c6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
module \$lut (A, Y);
	parameter WIDTH = 0;
	parameter LUT = 0;
	input [WIDTH-1:0] A;
	output Y;

	LUT #(.K(`LUT_K), .INIT(LUT)) _TECHMAP_REPLACE_ (.I(A), .Q(Y));
endmodule


module  \$_DFF_N_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(C)); endmodule
module  \$_DFF_P_ (input D, C, output Q); DFF  _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(!C)); endmodule