aboutsummaryrefslogtreecommitdiffstats
path: root/generic/synth/prims.v
blob: 95fcfac7dc0b633739d8b53f8fecd39748230b0a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
// LUT and DFF are combined to a GENERIC_SLICE

module LUT #(
	parameter K = 4,
	parameter [2**K-1:0] INIT = 0,
) (
	input [K-1:0] I,
	output Q
);
	assign Q = INIT[I];
endmodule

module DFF (
	input CLK, D,
	output reg Q
);
	always @(posedge CLK)
		Q <= D;
endmodule

module GENERIC_SLICE #(
	parameter K = 4,
	parameter [2**K-1:0] INIT = 0,
	parameter FF_USED = 1'b0
) (
	input CLK,
	input [K-1:0] I,
	output Q
);
	
	wire lut_q;
	LUT #(.K(K), .INIT(INIT)) lut_i(.I(I), .Q(lut_q));

	generate if (FF_USED)
		DFF dff_i(.CLK(CLK), .D(lut_q), .Q(Q));
	else
		assign Q = lut_q; 
	endgenerate
endmodule

module GENERIC_IOB #(
	parameter INPUT_USED = 1'b0,
	parameter OUTPUT_USED = 1'b0,
	parameter ENABLE_USED = 1'b0
) (
	inout PAD,
	input I, EN,
	output O
);
	generate if (OUTPUT_USED && ENABLE_USED)
		assign PAD = EN ? I : 1'bz;
	else if (OUTPUT_USED)
		assign PAD = I;
	endgenerate

	generate if (INPUT_USED)
		assign O = PAD;
	endgenerate
endmodule