aboutsummaryrefslogtreecommitdiffstats
path: root/gowin/globals.cc
blob: 5010cf79e72f4c738bf5895bb42a7a3b9bf15110 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
/*
 *  nextpnr -- Next Generation Place and Route
 *
 *  Copyright (C) 2018  gatecat <gatecat@ds0.me>
 *
 *  Permission to use, copy, modify, and/or distribute this software for any
 *  purpose with or without fee is hereby granted, provided that the above
 *  copyright notice and this permission notice appear in all copies.
 *
 *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
 *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
 *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
 *  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
 *  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
 *  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
 *  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
 *
 */

#include "globals.h"
#include <algorithm>
#include <iomanip>
#include <iostream>
#include <queue>
#include "cells.h"
#include "log.h"
#include "nextpnr.h"
#include "place_common.h"
#include "util.h"

NEXTPNR_NAMESPACE_BEGIN

class GowinGlobalRouter
{
  public:
    GowinGlobalRouter(Context *ctx) : ctx(ctx){};

  private:
    // wire -> clock#
    dict<WireId, int> used_wires;

    // ordered nets
    struct onet_t
    {
        IdString name;
        int clock_ports;
        WireId clock_io_wire; // IO wire if there is one

        onet_t() : name(IdString()), clock_ports(0), clock_io_wire(WireId()) {}
        onet_t(IdString _name) : name(_name), clock_ports(0), clock_io_wire(WireId()) {}

        // sort
        bool operator<(const onet_t &other) const
        {
            if ((clock_io_wire != WireId()) ^ (other.clock_io_wire != WireId())) {
                return !(clock_io_wire != WireId());
            }
            return clock_ports < other.clock_ports;
        }
        // search
        bool operator==(const onet_t &other) const { return name == other.name; }
    };

    bool is_clock_port(PortRef const &user)
    {
        if (user.cell->type == id_SLICE && user.port == id_CLK) {
            return true;
        }
        return false;
    }

    WireId clock_io(PortRef const &driver)
    {
        // XXX normally all alternative functions of the pins should be passed
        // in the chip database, but at the moment we find them from aliases/pips
        // XXX check diff inputs too
        if (driver.cell == nullptr || driver.cell->bel == BelId()) {
            return WireId();
        }
        // clock IOs have pips output->SPINExx
        BelInfo &bel = ctx->bels.at(driver.cell->bel);
        if (bel.type != id_IOB) {
            return WireId();
        }
        WireId wire = bel.pins[id_O].wire;
        for (auto const &pip : ctx->getPipsDownhill(wire)) {
            if (ctx->wire_info(ctx->getPipDstWire(pip)).type.str(ctx).rfind("SPINE", 0) == 0) {
                return wire;
            }
        }
        return WireId();
    }

    // gather the clock nets
    void gather_clock_nets(std::vector<onet_t> &clock_nets)
    {
        for (auto const &net : ctx->nets) {
            NetInfo const *ni = net.second.get();
            auto new_clock = clock_nets.end();
            WireId clock_wire = clock_io(ni->driver);
            if (clock_wire != WireId()) {
                clock_nets.emplace_back(net.first);
                new_clock = --clock_nets.end();
                new_clock->clock_io_wire = clock_wire;
            }
            for (auto const &user : ni->users) {
                if (is_clock_port(user)) {
                    if (new_clock == clock_nets.end()) {
                        clock_nets.emplace_back(net.first);
                        new_clock = --clock_nets.end();
                    }
                    ++(new_clock->clock_ports);
                }
            }
        }
        // need to prioritize the nets
        std::sort(clock_nets.begin(), clock_nets.end());

        if (ctx->verbose) {
            for (auto const &net : clock_nets) {
                log_info("  Net:%s, ports:%d, io:%s\n", net.name.c_str(ctx), net.clock_ports,
                         net.clock_io_wire == WireId() ? "No" : net.clock_io_wire.c_str(ctx));
            }
        }
    }

    // non clock port
    // returns GB pip
    IdString route_to_non_clock_port(WireId const dstWire, int clock, pool<IdString> &used_pips,
                                     pool<IdString> &undo_wires)
    {
        static std::vector<IdString> one_hop = {id_S111, id_S121, id_N111, id_N121, id_W111, id_W121, id_E111, id_E121};
        char buf[40];
        // uphill pips
        for (auto const &uphill : ctx->getPipsUphill(dstWire)) {
            WireId srcWire = ctx->getPipSrcWire(uphill);
            if (find(one_hop.begin(), one_hop.end(), ctx->wire_info(ctx->getPipSrcWire(uphill)).type) !=
                one_hop.end()) {
                // found one hop pip
                if (used_wires.count(srcWire)) {
                    if (used_wires[srcWire] != clock) {
                        continue;
                    }
                }
                WireInfo wi = ctx->wire_info(srcWire);
                std::string wire_alias = srcWire.str(ctx).substr(srcWire.str(ctx).rfind("_") + 1);
                snprintf(buf, sizeof(buf), "R%dC%d_GB%d0_%s", wi.y + 1, wi.x + 1, clock, wire_alias.c_str());
                IdString gb = ctx->id(buf);
                auto up_pips = ctx->getPipsUphill(srcWire);
                if (find(up_pips.begin(), up_pips.end(), gb) != up_pips.end()) {
                    if (!used_wires.count(srcWire)) {
                        used_wires.insert(std::make_pair(srcWire, clock));
                        undo_wires.insert(srcWire);
                    }
                    used_pips.insert(uphill);
                    if (ctx->verbose) {
                        log_info("    1-hop Pip:%s\n", uphill.c_str(ctx));
                    }
                    return gb;
                }
            }
        }
        return IdString();
    }

    // route one net
    void route_net(onet_t const &net, int clock)
    {
        // For failed routing undo
        pool<IdString> used_pips;
        pool<IdString> undo_wires;

        log_info("  Route net %s, use clock #%d.\n", net.name.c_str(ctx), clock);
        for (auto const &user : ctx->net_info(net.name).users) {
            // >>> port <- GB<clock>0
            WireId dstWire = ctx->getNetinfoSinkWire(&ctx->net_info(net.name), user, 0);
            if (ctx->verbose) {
                log_info("   Cell:%s, port:%s, wire:%s\n", user.cell->name.c_str(ctx), user.port.c_str(ctx),
                         dstWire.c_str(ctx));
            }

            char buf[30];
            PipId gb_pip_id;
            if (user.port == id_CLK) {
                WireInfo const wi = ctx->wire_info(dstWire);
                snprintf(buf, sizeof(buf), "R%dC%d_GB%d0_%s", wi.y + 1, wi.x + 1, clock,
                         ctx->wire_info(dstWire).type.c_str(ctx));
                gb_pip_id = ctx->id(buf);
                // sanity
                NPNR_ASSERT(find(ctx->getPipsUphill(dstWire).begin(), ctx->getPipsUphill(dstWire).end(), gb_pip_id) !=
                            ctx->getPipsUphill(dstWire).end());
            } else {
                // Non clock port
                gb_pip_id = route_to_non_clock_port(dstWire, clock, used_pips, undo_wires);
                if (gb_pip_id == IdString()) {
                    if (ctx->verbose) {
                        log_info("  Can't find route to %s, net %s will be routed in a standard way.\n",
                                 dstWire.c_str(ctx), net.name.c_str(ctx));
                    }
                    for (IdString const &undo : undo_wires) {
                        used_wires.erase(undo);
                    }
                    return;
                }
            }
            if (ctx->verbose) {
                log_info("    GB Pip:%s\n", gb_pip_id.c_str(ctx));
            }

            if (used_pips.count(gb_pip_id)) {
                if (ctx->verbose) {
                    log_info("    ^routed already^\n");
                }
                continue;
            }
            used_pips.insert(gb_pip_id);

            // >>> GBOx <- GTx0
            dstWire = ctx->getPipSrcWire(gb_pip_id);
            WireInfo dstWireInfo = ctx->wire_info(dstWire);
            int branch_tap_idx = clock > 3 ? 1 : 0;
            snprintf(buf, sizeof(buf), "R%dC%d_GT%d0_GBO%d", dstWireInfo.y + 1, dstWireInfo.x + 1, branch_tap_idx,
                     branch_tap_idx);
            PipId gt_pip_id = ctx->id(buf);
            if (ctx->verbose) {
                log_info("     GT Pip:%s\n", buf);
            }
            // sanity
            NPNR_ASSERT(find(ctx->getPipsUphill(dstWire).begin(), ctx->getPipsUphill(dstWire).end(), gt_pip_id) !=
                        ctx->getPipsUphill(dstWire).end());
            // if already routed
            if (used_pips.count(gt_pip_id)) {
                if (ctx->verbose) {
                    log_info("     ^routed already^\n");
                }
                continue;
            }
            used_pips.insert(gt_pip_id);

            // >>> GTx0 <- SPINExx
            // XXX no optimization here, we need to store
            // the SPINE <-> clock# correspondence in the database. In the
            // meantime, we define in run-time in a completely suboptimal way.
            std::vector<std::string> clock_spine;
            dstWire = ctx->getPipSrcWire(gt_pip_id);
            for (auto const &uphill_pip : ctx->getPipsUphill(dstWire)) {
                std::string name = ctx->wire_info(ctx->getPipSrcWire(uphill_pip)).type.str(ctx);
                if (name.rfind("SPINE", 0) == 0) {
                    clock_spine.push_back(name);
                }
            }
            sort(clock_spine.begin(), clock_spine.end(), [](const std::string &a, const std::string &b) -> bool {
                return (a.size() < b.size()) || (a.size() == b.size() && a < b);
            });
            dstWireInfo = ctx->wire_info(dstWire);
            snprintf(buf, sizeof(buf), "R%dC%d_%s_GT%d0", dstWireInfo.y + 1, dstWireInfo.x + 1,
                     clock_spine[clock - branch_tap_idx * 4].c_str(), branch_tap_idx);
            PipId spine_pip_id = ctx->id(buf);
            if (ctx->verbose) {
                log_info("      Spine Pip:%s\n", buf);
            }
            // sanity
            NPNR_ASSERT(find(ctx->getPipsUphill(dstWire).begin(), ctx->getPipsUphill(dstWire).end(), spine_pip_id) !=
                        ctx->getPipsUphill(dstWire).end());
            // if already routed
            if (used_pips.count(spine_pip_id)) {
                if (ctx->verbose) {
                    log_info("      ^routed already^\n");
                }
                continue;
            }
            used_pips.insert(spine_pip_id);

            // >>> SPINExx <- IO
            dstWire = ctx->getPipSrcWire(spine_pip_id);
            dstWireInfo = ctx->wire_info(dstWire);
            PipId io_pip_id = PipId();
            for (auto const &uphill_pip : ctx->getPipsUphill(dstWire)) {
                if (ctx->getPipSrcWire(uphill_pip) == net.clock_io_wire) {
                    io_pip_id = uphill_pip;
                }
            }
            NPNR_ASSERT(io_pip_id != PipId());
            if (ctx->verbose) {
                log_info("       IO Pip:%s\n", io_pip_id.c_str(ctx));
            }
            // if already routed
            if (used_pips.count(io_pip_id)) {
                if (ctx->verbose) {
                    log_info("      ^routed already^\n");
                }
                continue;
            }
            used_pips.insert(io_pip_id);
        }
        log_info("  Net %s is routed.\n", net.name.c_str(ctx));
        for (auto const pip : used_pips) {
            ctx->bindPip(pip, &ctx->net_info(net.name), STRENGTH_LOCKED);
        }
        ctx->bindWire(net.clock_io_wire, &ctx->net_info(net.name), STRENGTH_LOCKED);
    }

  public:
    Context *ctx;
    void route_globals()
    {
        log_info("Routing globals...\n");

        std::vector<onet_t> clock_nets;
        gather_clock_nets(clock_nets);
        // XXX we need to use the list of indexes of clocks from the database
        // use 6 clocks (XXX 3 for GW1NZ-1)
        int max_clock = 3, cur_clock = -1;
        for (auto const &net : clock_nets) {
            // XXX only IO clock for now
            if (net.clock_io_wire == WireId()) {
                log_info(" Non IO clock, skip %s.\n", net.name.c_str(ctx));
                continue;
            }
            if (++cur_clock >= max_clock) {
                log_info(" No more clock wires left, skip the remaining nets.\n");
                break;
            }
            route_net(net, cur_clock);
        }
    }
};

void route_gowin_globals(Context *ctx)
{
    GowinGlobalRouter router(ctx);
    router.route_globals();
}

NEXTPNR_NAMESPACE_END