aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/carry_tests/counter_tb.v
blob: ede133a711f2be8449819df70782406fd2b8cf34 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
module counter_tb;
    reg clk;
    always #5 clk = (clk === 1'b0);

    wire outa, outb, outc, outd;

    chip uut (
        .clk(clk),
        .cen(1'b1),
        .rst(1'b0),
        .outa(outa),
        .outb(outb),
        .outc(outc),
	.outd(outd)
    );

    initial begin
        $dumpfile("counter_tb.vcd");
        $dumpvars(0, counter_tb);
        repeat (100000) @(posedge clk);
        $finish;
    end
endmodule