aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/examples/floorplan/floorplan.sh
blob: 49461f8dac279d09ec19406b30e527d0c36e9803 (plain)
1
2
3
4
5
6
#!/usr/bin/env bash
set -ex
yosys -p "synth_ice40 -top top -json floorplan.json" floorplan.v
../../../nextpnr-ice40 --package sg48  --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py
icepack floorplan.asc floorplan.bin
iceprog floorplan.bin