aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/pack_tests/io_wrapper.v
blob: b58d6c0c04b6f8cbf8981f9c22ee826ada2d4543 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
module io_wrapper(input clk_pin, cen_pin, rst_pin, ina_pin, inb_pin,
                  output outa_pin, outb_pin, outc_pin, outd_pin);

    wire clk, cen, rst, ina, inb, outa, outb, outc, outd;

    (* BEL="0_14_io1" *)
    SB_IO #(
        .PIN_TYPE(6'b 0000_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) clk_iob (
        .PACKAGE_PIN(clk_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(),
        .D_OUT_1(),
        .D_IN_0(clk),
        .D_IN_1()
    );

    (* BEL="0_14_io0" *)
    SB_IO #(
        .PIN_TYPE(6'b 0000_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) cen_iob (
        .PACKAGE_PIN(cen_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(),
        .D_OUT_1(),
        .D_IN_0(cen),
        .D_IN_1()
    );

    (* BEL="0_13_io1" *)
    SB_IO #(
        .PIN_TYPE(6'b 0000_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) rst_iob (
        .PACKAGE_PIN(rst_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(),
        .D_OUT_1(),
        .D_IN_0(rst),
        .D_IN_1()
    );

    (* BEL="0_13_io0" *)
    SB_IO #(
        .PIN_TYPE(6'b 0000_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) ina_iob (
        .PACKAGE_PIN(ina_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(),
        .D_OUT_1(),
        .D_IN_0(ina),
        .D_IN_1()
    );

    (* BEL="0_12_io1" *)
    SB_IO #(
        .PIN_TYPE(6'b 0000_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) inb_iob (
        .PACKAGE_PIN(inb_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(),
        .D_OUT_1(),
        .D_IN_0(inb),
        .D_IN_1()
    );

    (* BEL="0_12_io0" *)
    SB_IO #(
        .PIN_TYPE(6'b 0110_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) outa_iob (
        .PACKAGE_PIN(outa_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(outa),
        .D_OUT_1(),
        .D_IN_0(),
        .D_IN_1()
    );

    (* BEL="0_11_io1" *)
    SB_IO #(
        .PIN_TYPE(6'b 0110_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) outb_iob (
        .PACKAGE_PIN(outb_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(outb),
        .D_OUT_1(),
        .D_IN_0(),
        .D_IN_1()
    );

    (* BEL="0_11_io0" *)
    SB_IO #(
        .PIN_TYPE(6'b 0110_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) outc_iob (
        .PACKAGE_PIN(outc_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(outc),
        .D_OUT_1(),
        .D_IN_0(),
        .D_IN_1()
    );

    (* BEL="0_10_io1" *)
    SB_IO #(
        .PIN_TYPE(6'b 0110_01),
        .PULLUP(1'b0),
        .NEG_TRIGGER(1'b0)
    ) outd_iob (
        .PACKAGE_PIN(outa_pin),
        .LATCH_INPUT_VALUE(),
        .CLOCK_ENABLE(),
        .INPUT_CLK(),
        .OUTPUT_CLK(),
        .OUTPUT_ENABLE(),
        .D_OUT_0(outd),
        .D_OUT_1(),
        .D_IN_0(),
        .D_IN_1()
    );

    top top_i(.clk(clk), .rst(rst), .cen(cen), .ina(ina), .inb(inb), .outa(outa), .outb(outb), .outc(outc), .outd(outd));
endmodule