aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/pack_tests/place_constr.v
blob: f915a203ba6701c1461631c3a8fdfd1b63d1f378 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
module top(input clk, cen, rst, ina, inb, output outa, outb, outc, outd);

wire temp0, temp1;

(* BEL="1_1_lc0" *)
SB_LUT4 #(
    .LUT_INIT(2'b01)
) lut0 (
    .I3(),
    .I2(),
    .I1(),
    .I0(ina),
    .O(temp0)
);


(* BEL="1_3_lc0" *)
SB_LUT4 #(
    .LUT_INIT(2'b01)
) lut1 (
    .I3(),
    .I2(),
    .I1(),
    .I0(inb),
    .O(temp1)
);

(* BEL="1_1_lc0" *)
SB_DFF ff0 (
    .C(clk),
    .D(temp1),
    .Q(outa)
);


(* BEL="1_1_lc7" *)
SB_DFF ff1 (
    .C(clk),
    .D(inb),
    .Q(outb)
);


(* BEL="1_6_lc7" *)
SB_DFF ff2 (
    .C(clk),
    .D(temp1),
    .Q(outc)
);


assign outd = 1'b0;

endmodule