aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/picorv32.sh
blob: 2c67f641dea8befb39f9ff797629b19060fa12d5 (plain)
1
2
3
4
5
6
#!/bin/bash
set -ex
rm -f picorv32.v
wget https://raw.githubusercontent.com/cliffordwolf/picorv32/master/picorv32.v
yosys -p 'synth_ice40 -nocarry -json picorv32.json -top top' picorv32.v picorv32_top.v
../nextpnr-ice40 --hx8k --asc picorv32.asc --json picorv32.json