aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/smoketest/attosoc/attosoc_tb.v
blob: 65496fcd4cab5298abe7e2106a1751ebea1290c7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
module testbench();
    integer out;
	reg clk;

	always #5 clk = (clk === 1'b0);

	initial begin
        out = $fopen("output.txt","w");
		$dumpfile("testbench.vcd");
		$dumpvars(0, testbench);

		repeat (100) begin
			repeat (256) @(posedge clk);
			$display("+256 cycles");
		end
        $fclose(out);
        #100;
		$finish;
	end

	wire [7:0] led;

	always @(led) begin
		#1 $display("%b", led);
        $fwrite(out, "%b\n", led);
	end

	attosoc uut (
		.clk      (clk      ),
		.led      (led      )
	);
endmodule