aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/tinyfpga.v
blob: bd26d8eb4d6bcc49433b5f37bd2a91d15de57167 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
// Modified from:
// https://github.com/tinyfpga/TinyFPGA-A-Series/tree/master/template_a2
// https://tinyfpga.com/a-series-guide.html used as a basis.

module top (
  (* LOC="13" *)
  inout pin1
);


  wire clk;

  OSCH #(
    .NOM_FREQ("16.63")
  ) internal_oscillator_inst (
    .STDBY(1'b0),
    .OSC(clk)
  );

  reg [23:0] led_timer;

  always @(posedge clk) begin
    led_timer <= led_timer + 1;
  end

  // left side of board
  assign pin1 = led_timer[23];
endmodule