aboutsummaryrefslogtreecommitdiffstats
path: root/.github
diff options
context:
space:
mode:
authorMarcelina Koƛcielnicka <mwk@0x04.net>2021-05-23 21:20:04 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-05-24 02:20:16 +0200
commitef4ddfacf391acc0f681d72a6ca31974f62b2ce0 (patch)
tree31019b045683cbec3c68c10b50a503c3dc4b91f4 /.github
parentdf2b79ca7687ae46360084f2e3ef27222bb3a640 (diff)
downloadyosys-ef4ddfacf391acc0f681d72a6ca31974f62b2ce0.tar.gz
yosys-ef4ddfacf391acc0f681d72a6ca31974f62b2ce0.tar.bz2
yosys-ef4ddfacf391acc0f681d72a6ca31974f62b2ce0.zip
github actions: Test on several gcc and clang versions on Linux.
Fixes #2776.
Diffstat (limited to '.github')
-rw-r--r--.github/workflows/test.yml37
1 files changed, 31 insertions, 6 deletions
diff --git a/.github/workflows/test.yml b/.github/workflows/test.yml
index 2a757d153..ee5b5c00e 100644
--- a/.github/workflows/test.yml
+++ b/.github/workflows/test.yml
@@ -6,9 +6,22 @@ jobs:
test:
strategy:
matrix:
- runner:
- - ubuntu-20.04
- - macOS-10.15
+ include:
+ - runner: ubuntu-20.04
+ config: clang
+ cc: clang
+ - runner: ubuntu-20.04
+ config: gcc
+ cc: gcc
+ - runner: ubuntu-18.04
+ config: gcc
+ cc: gcc-4.8
+ - runner: ubuntu-18.04
+ config: clang
+ cc: clang-3.9
+ - runner: macOS-10.15
+ config: clang
+ cc: clang
runs-on: ${{ matrix.runner }}
steps:
@@ -20,6 +33,16 @@ jobs:
sudo apt-get update
sudo apt-get install g++ gperf build-essential bison flex libreadline-dev gawk tcl-dev libffi-dev git graphviz xdot pkg-config python python3 libboost-system-dev libboost-python-dev libboost-filesystem-dev zlib1g-dev
+ - name: Install gcc-4.8
+ if: matrix.cc == 'gcc-4.8'
+ run: |
+ sudo apt-get install g++-4.8
+
+ - name: Install clang-3.9
+ if: matrix.cc == 'clang-3.9'
+ run: |
+ sudo apt-get install clang-3.9
+
- name: Install dependencies (macOS)
if: runner.os == 'macOS'
run: |
@@ -45,7 +68,7 @@ jobs:
uses: actions/cache@v2
with:
path: iverilog-bin
- key: ${{ runner.os }}-${{ hashFiles('iverilog/.git/refs/heads/master') }}
+ key: ${{ matrix.runner }}-${{ hashFiles('iverilog/.git/refs/heads/master') }}
- name: Build iverilog
if: steps.cache-iverilog.outputs.cache-hit != 'true'
@@ -59,8 +82,10 @@ jobs:
- name: Build yosys
run: |
- make -j${{ env.procs }}
+ ${{ matrix.cc }} --version
+ make config-${{ matrix.config }}
+ make -j${{ env.procs }} CC=${{ matrix.cc }} CXX=${{ matrix.cc }} LD=${{ matrix.cc }}
- name: Run tests
run: |
- PATH=$PWD/iverilog-bin/bin:$PATH make -j${{ env.procs }} test
+ PATH=$PWD/iverilog-bin/bin:$PATH make -j${{ env.procs }} test CC=${{ matrix.cc }} CXX=${{ matrix.cc }} LD=${{ matrix.cc }}