aboutsummaryrefslogtreecommitdiffstats
path: root/.mailmap
diff options
context:
space:
mode:
authorClaire Xenia Wolf <claire@clairexen.net>2021-06-08 00:20:55 +0200
committerClaire Xenia Wolf <claire@clairexen.net>2021-06-08 00:20:55 +0200
commite65ed3f228bd0e26248eaaeabdcea507379d757a (patch)
treeae9553d0b7b9b5bc7dfdac6338ace1a348895833 /.mailmap
parent8cfed1a97957e4c096d1e0a0304d978bcb27e116 (diff)
downloadyosys-e65ed3f228bd0e26248eaaeabdcea507379d757a.tar.gz
yosys-e65ed3f228bd0e26248eaaeabdcea507379d757a.tar.bz2
yosys-e65ed3f228bd0e26248eaaeabdcea507379d757a.zip
Add claire deadname stuff to .mailmap
Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
Diffstat (limited to '.mailmap')
-rw-r--r--.mailmap4
1 files changed, 4 insertions, 0 deletions
diff --git a/.mailmap b/.mailmap
index f3c1ba97a..78afe1b6c 100644
--- a/.mailmap
+++ b/.mailmap
@@ -1,3 +1,7 @@
Marcelina Kościelnicka <mwk@0x04.net>
Marcelina Kościelnicka <mwk@0x04.net> <koriakin@0x04.net>
Marcelina Kościelnicka <mwk@0x04.net> <marcin@symbioticeda.com>
+Claire Xenia Wolf <claire@yosyshq.com> <claire@clairexen.net>
+Claire Xenia Wolf <claire@yosyshq.com> <claire@symbioticeda.com>
+Claire Xenia Wolf <claire@yosyshq.com> <clifford@symbioticeda.com>
+Claire Xenia Wolf <claire@yosyshq.com> <clifford@clifford.at>