diff options
author | Eddie Hung <eddie@fpgeh.com> | 2019-08-07 21:31:32 -0700 |
---|---|---|
committer | Eddie Hung <eddie@fpgeh.com> | 2019-08-07 21:31:32 -0700 |
commit | 35bf509603904633e4bfd1d21aef834966378a90 (patch) | |
tree | 118c8d1bbe78794cb50d58d34717bdf8cec26f04 | |
parent | 4545bf482f029b7a49a7c2f88514b6c86ebf563f (diff) | |
download | yosys-35bf509603904633e4bfd1d21aef834966378a90.tar.gz yosys-35bf509603904633e4bfd1d21aef834966378a90.tar.bz2 yosys-35bf509603904633e4bfd1d21aef834966378a90.zip |
Add testcase from removed opt_ff.{v,ys}
-rw-r--r-- | tests/various/wreduce.ys | 32 |
1 files changed, 32 insertions, 0 deletions
diff --git a/tests/various/wreduce.ys b/tests/various/wreduce.ys index 4257292f5..d3a59c6e3 100644 --- a/tests/various/wreduce.ys +++ b/tests/various/wreduce.ys @@ -46,3 +46,35 @@ design -import gate -as gate miter -equiv -flatten -make_assert -make_outputs gold gate miter sat -verify -prove-asserts -show-ports miter + +########## + +# Testcase from: https://github.com/YosysHQ/yosys/commit/25680f6a078bb32f157bd580705656496717bafb +design -reset +read_verilog <<EOT +module top( + input clk, + input rst, + input [2:0] a, + output [1:0] b +); + reg [2:0] b_reg; + initial begin + b_reg <= 3'b0; + end + + assign b = b_reg[1:0]; + always @(posedge clk or posedge rst) begin + if(rst) begin + b_reg <= 3'b0; + end else begin + b_reg <= a; + end + end +endmodule +EOT + +proc +wreduce + +select -assert-count 1 t:$adff r:ARST_VALUE=2'b00 %i |