aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-01-17 14:54:04 +0100
committerClifford Wolf <clifford@clifford.at>2019-01-17 14:54:04 +0100
commitf3556e9f7ac6947be440a51699af773655de4911 (patch)
treebd030d3d6efbc0112dc4c05743d209cad937449b /examples
parentdb5765b443c26b5b2dc3ac56d5a448fc8b861d43 (diff)
downloadyosys-f3556e9f7ac6947be440a51699af773655de4911.tar.gz
yosys-f3556e9f7ac6947be440a51699af773655de4911.tar.bz2
yosys-f3556e9f7ac6947be440a51699af773655de4911.zip
Cleanups in igloo2 example design
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'examples')
-rw-r--r--examples/igloo2/example.fp.pdc0
-rw-r--r--examples/igloo2/example.io.pdc0
-rw-r--r--examples/igloo2/example.pdc1
-rw-r--r--examples/igloo2/example.sdc1
-rw-r--r--examples/igloo2/example.ys3
-rw-r--r--examples/igloo2/libero.tcl6
6 files changed, 4 insertions, 7 deletions
diff --git a/examples/igloo2/example.fp.pdc b/examples/igloo2/example.fp.pdc
deleted file mode 100644
index e69de29bb..000000000
--- a/examples/igloo2/example.fp.pdc
+++ /dev/null
diff --git a/examples/igloo2/example.io.pdc b/examples/igloo2/example.io.pdc
deleted file mode 100644
index e69de29bb..000000000
--- a/examples/igloo2/example.io.pdc
+++ /dev/null
diff --git a/examples/igloo2/example.pdc b/examples/igloo2/example.pdc
new file mode 100644
index 000000000..e6ffd53db
--- /dev/null
+++ b/examples/igloo2/example.pdc
@@ -0,0 +1 @@
+# Add placement constraints here
diff --git a/examples/igloo2/example.sdc b/examples/igloo2/example.sdc
index e69de29bb..c6ff94161 100644
--- a/examples/igloo2/example.sdc
+++ b/examples/igloo2/example.sdc
@@ -0,0 +1 @@
+# Add timing constraints here
diff --git a/examples/igloo2/example.ys b/examples/igloo2/example.ys
deleted file mode 100644
index 04ea02672..000000000
--- a/examples/igloo2/example.ys
+++ /dev/null
@@ -1,3 +0,0 @@
-read_verilog example.v
-synth_sf2 -top example -edif netlist.edn
-write_verilog netlist.vm
diff --git a/examples/igloo2/libero.tcl b/examples/igloo2/libero.tcl
index 952342a4c..1f3476316 100644
--- a/examples/igloo2/libero.tcl
+++ b/examples/igloo2/libero.tcl
@@ -14,14 +14,12 @@ new_project \
import_files -hdl_source {netlist.vm}
import_files -sdc {example.sdc}
-import_files -io_pdc {example.io.pdc}
-import_files -fp_pdc {example.fp.pdc}
+import_files -io_pdc {example.pdc}
set_option -synth 0
organize_tool_files -tool PLACEROUTE \
-file {proj/constraint/example.sdc} \
- -file {proj/constraint/io/example.io.pdc} \
- -file {proj/constraint/fp/example.fp.pdc} \
+ -file {proj/constraint/io/example.pdc} \
-input_type constraint
organize_tool_files -tool VERIFYTIMING \