aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple_abc9/abc9.v
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-12-06 23:22:52 -0800
committerEddie Hung <eddie@fpgeh.com>2019-12-06 23:22:52 -0800
commita46a7e8a678a410292bbab061d1b96254fa7701d (patch)
tree07ed33e9e84c10c415165f05f1d2001fad7ddfc9 /tests/simple_abc9/abc9.v
parentab667d3d47ceb07a41b571517b4effb0f4a4bf0b (diff)
parentecb0c68f0751b3bd97f8da94e7bd2258987d58e1 (diff)
downloadyosys-a46a7e8a678a410292bbab061d1b96254fa7701d.tar.gz
yosys-a46a7e8a678a410292bbab061d1b96254fa7701d.tar.bz2
yosys-a46a7e8a678a410292bbab061d1b96254fa7701d.zip
Merge remote-tracking branch 'origin/master' into xaig_dff
Diffstat (limited to 'tests/simple_abc9/abc9.v')
-rw-r--r--tests/simple_abc9/abc9.v16
1 files changed, 11 insertions, 5 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v
index 961e7605e..8afd0ce96 100644
--- a/tests/simple_abc9/abc9.v
+++ b/tests/simple_abc9/abc9.v
@@ -259,29 +259,35 @@ assign o = { 1'b1, 1'bx };
assign p = { 1'b1, 1'bx, 1'b0 };
endmodule
-module abc9_test029(input clk1, clk2, d, output reg q1, q2);
+module abc9_test030(input [3:0] d, input en, output reg [3:0] q);
+always @*
+ if (en)
+ q <= d;
+endmodule
+
+module abc9_test031(input clk1, clk2, d, output reg q1, q2);
always @(posedge clk1) q1 <= d;
always @(negedge clk2) q2 <= q1;
endmodule
-module abc9_test030(input clk, d, r, output reg q);
+module abc9_test032(input clk, d, r, output reg q);
always @(posedge clk or posedge r)
if (r) q <= 1'b0;
else q <= d;
endmodule
-module abc9_test031(input clk, d, r, output reg q);
+module abc9_test033(input clk, d, r, output reg q);
always @(negedge clk or posedge r)
if (r) q <= 1'b1;
else q <= d;
endmodule
-module abc9_test033(input clk, d, output reg q1, q2);
+module abc9_test034(input clk, d, output reg q1, q2);
always @(posedge clk) q1 <= d;
always @(posedge clk) q2 <= q1;
endmodule
-module abc9_test034(input clk, d, output reg [1:0] q);
+module abc9_test035(input clk, d, output reg [1:0] q);
always @(posedge clk) q[0] <= d;
always @(negedge clk) q[1] <= q[0];
endmodule