diff options
author | David Shah <dave@ds0.me> | 2020-01-19 21:15:51 +0000 |
---|---|---|
committer | David Shah <dave@ds0.me> | 2020-01-19 21:20:17 +0000 |
commit | 22c967e35e23d0688081818f49a11f0ec0853bb1 (patch) | |
tree | 20abfd2fd853ed65bce1935b9f4968e44a773cfd /tests/various/sformatf.ys | |
parent | b7be6cfd6544a351b885a869008bf10cec189b8b (diff) | |
download | yosys-22c967e35e23d0688081818f49a11f0ec0853bb1.tar.gz yosys-22c967e35e23d0688081818f49a11f0ec0853bb1.tar.bz2 yosys-22c967e35e23d0688081818f49a11f0ec0853bb1.zip |
ast: Add support for $sformatf system function
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'tests/various/sformatf.ys')
-rw-r--r-- | tests/various/sformatf.ys | 12 |
1 files changed, 12 insertions, 0 deletions
diff --git a/tests/various/sformatf.ys b/tests/various/sformatf.ys new file mode 100644 index 000000000..66d6b0dbe --- /dev/null +++ b/tests/various/sformatf.ys @@ -0,0 +1,12 @@ +read_verilog <<EOT + +module top; + localparam a = $sformatf("0x%x", 8'h5A); + localparam b = $sformatf("%d", 4'b011); + generate + if (a != "0x5a") $error("a incorrect!"); + if (b != "3") $error("b incorrect!"); + endgenerate +endmodule + +EOT |