diff options
author | Eddie Hung <eddie@fpgeh.com> | 2020-01-21 16:27:40 -0800 |
---|---|---|
committer | Eddie Hung <eddie@fpgeh.com> | 2020-01-21 16:27:40 -0800 |
commit | 3d9737c1bd05235b2c32fe9daaaa9004924a6018 (patch) | |
tree | 36c965a05ad7e1afc7baf2cf7c46922be2bd1918 /tests | |
parent | cd093c00f84b44662a09d469c2b0d8ba6ecf6f6e (diff) | |
parent | 5791c52e1b0c0e52299ee1c293a41d712d782422 (diff) | |
download | yosys-3d9737c1bd05235b2c32fe9daaaa9004924a6018.tar.gz yosys-3d9737c1bd05235b2c32fe9daaaa9004924a6018.tar.bz2 yosys-3d9737c1bd05235b2c32fe9daaaa9004924a6018.zip |
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Diffstat (limited to 'tests')
-rw-r--r-- | tests/arch/ice40/bug1644.il.gz | bin | 0 -> 25669 bytes | |||
-rw-r--r-- | tests/arch/ice40/bug1644.ys | 2 | ||||
-rw-r--r-- | tests/arch/ice40/ice40_dsp.ys | 11 | ||||
-rw-r--r-- | tests/arch/xilinx/bug1462.ys (renamed from tests/various/bug1462.ys) | 0 | ||||
-rw-r--r-- | tests/arch/xilinx/xilinx_dsp.ys | 11 |
5 files changed, 24 insertions, 0 deletions
diff --git a/tests/arch/ice40/bug1644.il.gz b/tests/arch/ice40/bug1644.il.gz Binary files differnew file mode 100644 index 000000000..363c510ef --- /dev/null +++ b/tests/arch/ice40/bug1644.il.gz diff --git a/tests/arch/ice40/bug1644.ys b/tests/arch/ice40/bug1644.ys new file mode 100644 index 000000000..5950f0e3c --- /dev/null +++ b/tests/arch/ice40/bug1644.ys @@ -0,0 +1,2 @@ +read_ilang bug1644.il.gz +synth_ice40 -top top -dsp -json adc_dac_pass_through.json -run :map_bram diff --git a/tests/arch/ice40/ice40_dsp.ys b/tests/arch/ice40/ice40_dsp.ys new file mode 100644 index 000000000..250273859 --- /dev/null +++ b/tests/arch/ice40/ice40_dsp.ys @@ -0,0 +1,11 @@ +read_verilog <<EOT +module top(input [15:0] a, b, output [31:0] o1, o2, o5); +SB_MAC16 m1 (.A(a), .B(16'd1234), .O(o1)); +assign o2 = a * 16'd0; +wire [31:0] o3, o4; +SB_MAC16 m2 (.A(a), .B(b), .O(o3)); +assign o4 = a * b; +SB_MAC16 m3 (.A(a), .B(b), .O(o5)); +endmodule +EOT +ice40_dsp diff --git a/tests/various/bug1462.ys b/tests/arch/xilinx/bug1462.ys index 15cab5121..15cab5121 100644 --- a/tests/various/bug1462.ys +++ b/tests/arch/xilinx/bug1462.ys diff --git a/tests/arch/xilinx/xilinx_dsp.ys b/tests/arch/xilinx/xilinx_dsp.ys new file mode 100644 index 000000000..3b9f52930 --- /dev/null +++ b/tests/arch/xilinx/xilinx_dsp.ys @@ -0,0 +1,11 @@ +read_verilog <<EOT +module top(input [24:0] a, input [17:0] b, output [42:0] o1, o2, o5); +DSP48E1 m1 (.A(a), .B(16'd1234), .P(o1)); +assign o2 = a * 16'd0; +wire [42:0] o3, o4; +DSP48E1 m2 (.A(a), .B(b), .P(o3)); +assign o4 = a * b; +DSP48E1 m3 (.A(a), .B(b), .P(o5)); +endmodule +EOT +xilinx_dsp |