aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/machxo2/brams_map.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/machxo2/brams_map.v')
-rw-r--r--techlibs/machxo2/brams_map.v128
1 files changed, 64 insertions, 64 deletions
diff --git a/techlibs/machxo2/brams_map.v b/techlibs/machxo2/brams_map.v
index 05a8e8a9b..6783e5b29 100644
--- a/techlibs/machxo2/brams_map.v
+++ b/techlibs/machxo2/brams_map.v
@@ -45,38 +45,38 @@ assign PORT_A_RD_DATA = DOA;
assign PORT_B_RD_DATA = DOB;
DP8KC #(
- .INITVAL_00($sformatf("0x%080x", init_slice('h00))),
- .INITVAL_01($sformatf("0x%080x", init_slice('h01))),
- .INITVAL_02($sformatf("0x%080x", init_slice('h02))),
- .INITVAL_03($sformatf("0x%080x", init_slice('h03))),
- .INITVAL_04($sformatf("0x%080x", init_slice('h04))),
- .INITVAL_05($sformatf("0x%080x", init_slice('h05))),
- .INITVAL_06($sformatf("0x%080x", init_slice('h06))),
- .INITVAL_07($sformatf("0x%080x", init_slice('h07))),
- .INITVAL_08($sformatf("0x%080x", init_slice('h08))),
- .INITVAL_09($sformatf("0x%080x", init_slice('h09))),
- .INITVAL_0A($sformatf("0x%080x", init_slice('h0a))),
- .INITVAL_0B($sformatf("0x%080x", init_slice('h0b))),
- .INITVAL_0C($sformatf("0x%080x", init_slice('h0c))),
- .INITVAL_0D($sformatf("0x%080x", init_slice('h0d))),
- .INITVAL_0E($sformatf("0x%080x", init_slice('h0e))),
- .INITVAL_0F($sformatf("0x%080x", init_slice('h0f))),
- .INITVAL_10($sformatf("0x%080x", init_slice('h10))),
- .INITVAL_11($sformatf("0x%080x", init_slice('h11))),
- .INITVAL_12($sformatf("0x%080x", init_slice('h12))),
- .INITVAL_13($sformatf("0x%080x", init_slice('h13))),
- .INITVAL_14($sformatf("0x%080x", init_slice('h14))),
- .INITVAL_15($sformatf("0x%080x", init_slice('h15))),
- .INITVAL_16($sformatf("0x%080x", init_slice('h16))),
- .INITVAL_17($sformatf("0x%080x", init_slice('h17))),
- .INITVAL_18($sformatf("0x%080x", init_slice('h18))),
- .INITVAL_19($sformatf("0x%080x", init_slice('h19))),
- .INITVAL_1A($sformatf("0x%080x", init_slice('h1a))),
- .INITVAL_1B($sformatf("0x%080x", init_slice('h1b))),
- .INITVAL_1C($sformatf("0x%080x", init_slice('h1c))),
- .INITVAL_1D($sformatf("0x%080x", init_slice('h1d))),
- .INITVAL_1E($sformatf("0x%080x", init_slice('h1e))),
- .INITVAL_1F($sformatf("0x%080x", init_slice('h1f))),
+ .INITVAL_00(init_slice('h00)),
+ .INITVAL_01(init_slice('h01)),
+ .INITVAL_02(init_slice('h02)),
+ .INITVAL_03(init_slice('h03)),
+ .INITVAL_04(init_slice('h04)),
+ .INITVAL_05(init_slice('h05)),
+ .INITVAL_06(init_slice('h06)),
+ .INITVAL_07(init_slice('h07)),
+ .INITVAL_08(init_slice('h08)),
+ .INITVAL_09(init_slice('h09)),
+ .INITVAL_0A(init_slice('h0a)),
+ .INITVAL_0B(init_slice('h0b)),
+ .INITVAL_0C(init_slice('h0c)),
+ .INITVAL_0D(init_slice('h0d)),
+ .INITVAL_0E(init_slice('h0e)),
+ .INITVAL_0F(init_slice('h0f)),
+ .INITVAL_10(init_slice('h10)),
+ .INITVAL_11(init_slice('h11)),
+ .INITVAL_12(init_slice('h12)),
+ .INITVAL_13(init_slice('h13)),
+ .INITVAL_14(init_slice('h14)),
+ .INITVAL_15(init_slice('h15)),
+ .INITVAL_16(init_slice('h16)),
+ .INITVAL_17(init_slice('h17)),
+ .INITVAL_18(init_slice('h18)),
+ .INITVAL_19(init_slice('h19)),
+ .INITVAL_1A(init_slice('h1a)),
+ .INITVAL_1B(init_slice('h1b)),
+ .INITVAL_1C(init_slice('h1c)),
+ .INITVAL_1D(init_slice('h1d)),
+ .INITVAL_1E(init_slice('h1e)),
+ .INITVAL_1F(init_slice('h1f)),
.DATA_WIDTH_A(PORT_A_WIDTH),
.DATA_WIDTH_B(PORT_B_WIDTH),
.REGMODE_A("NOREG"),
@@ -211,38 +211,38 @@ wire [17:0] DO;
assign PORT_R_RD_DATA = PORT_R_WIDTH == 18 ? DO : DO[17:9];
DP8KC #(
- .INITVAL_00($sformatf("0x%080x", init_slice('h00))),
- .INITVAL_01($sformatf("0x%080x", init_slice('h01))),
- .INITVAL_02($sformatf("0x%080x", init_slice('h02))),
- .INITVAL_03($sformatf("0x%080x", init_slice('h03))),
- .INITVAL_04($sformatf("0x%080x", init_slice('h04))),
- .INITVAL_05($sformatf("0x%080x", init_slice('h05))),
- .INITVAL_06($sformatf("0x%080x", init_slice('h06))),
- .INITVAL_07($sformatf("0x%080x", init_slice('h07))),
- .INITVAL_08($sformatf("0x%080x", init_slice('h08))),
- .INITVAL_09($sformatf("0x%080x", init_slice('h09))),
- .INITVAL_0A($sformatf("0x%080x", init_slice('h0a))),
- .INITVAL_0B($sformatf("0x%080x", init_slice('h0b))),
- .INITVAL_0C($sformatf("0x%080x", init_slice('h0c))),
- .INITVAL_0D($sformatf("0x%080x", init_slice('h0d))),
- .INITVAL_0E($sformatf("0x%080x", init_slice('h0e))),
- .INITVAL_0F($sformatf("0x%080x", init_slice('h0f))),
- .INITVAL_10($sformatf("0x%080x", init_slice('h10))),
- .INITVAL_11($sformatf("0x%080x", init_slice('h11))),
- .INITVAL_12($sformatf("0x%080x", init_slice('h12))),
- .INITVAL_13($sformatf("0x%080x", init_slice('h13))),
- .INITVAL_14($sformatf("0x%080x", init_slice('h14))),
- .INITVAL_15($sformatf("0x%080x", init_slice('h15))),
- .INITVAL_16($sformatf("0x%080x", init_slice('h16))),
- .INITVAL_17($sformatf("0x%080x", init_slice('h17))),
- .INITVAL_18($sformatf("0x%080x", init_slice('h18))),
- .INITVAL_19($sformatf("0x%080x", init_slice('h19))),
- .INITVAL_1A($sformatf("0x%080x", init_slice('h1a))),
- .INITVAL_1B($sformatf("0x%080x", init_slice('h1b))),
- .INITVAL_1C($sformatf("0x%080x", init_slice('h1c))),
- .INITVAL_1D($sformatf("0x%080x", init_slice('h1d))),
- .INITVAL_1E($sformatf("0x%080x", init_slice('h1e))),
- .INITVAL_1F($sformatf("0x%080x", init_slice('h1f))),
+ .INITVAL_00(init_slice('h00)),
+ .INITVAL_01(init_slice('h01)),
+ .INITVAL_02(init_slice('h02)),
+ .INITVAL_03(init_slice('h03)),
+ .INITVAL_04(init_slice('h04)),
+ .INITVAL_05(init_slice('h05)),
+ .INITVAL_06(init_slice('h06)),
+ .INITVAL_07(init_slice('h07)),
+ .INITVAL_08(init_slice('h08)),
+ .INITVAL_09(init_slice('h09)),
+ .INITVAL_0A(init_slice('h0a)),
+ .INITVAL_0B(init_slice('h0b)),
+ .INITVAL_0C(init_slice('h0c)),
+ .INITVAL_0D(init_slice('h0d)),
+ .INITVAL_0E(init_slice('h0e)),
+ .INITVAL_0F(init_slice('h0f)),
+ .INITVAL_10(init_slice('h10)),
+ .INITVAL_11(init_slice('h11)),
+ .INITVAL_12(init_slice('h12)),
+ .INITVAL_13(init_slice('h13)),
+ .INITVAL_14(init_slice('h14)),
+ .INITVAL_15(init_slice('h15)),
+ .INITVAL_16(init_slice('h16)),
+ .INITVAL_17(init_slice('h17)),
+ .INITVAL_18(init_slice('h18)),
+ .INITVAL_19(init_slice('h19)),
+ .INITVAL_1A(init_slice('h1a)),
+ .INITVAL_1B(init_slice('h1b)),
+ .INITVAL_1C(init_slice('h1c)),
+ .INITVAL_1D(init_slice('h1d)),
+ .INITVAL_1E(init_slice('h1e)),
+ .INITVAL_1F(init_slice('h1f)),
.DATA_WIDTH_A(PORT_W_WIDTH),
.DATA_WIDTH_B(PORT_R_WIDTH),
.REGMODE_A("NOREG"),