diff options
Diffstat (limited to 'tests/arch/common/dynamic_part_select')
-rw-r--r-- | tests/arch/common/dynamic_part_select/forloop_select.v | 19 |
1 files changed, 0 insertions, 19 deletions
diff --git a/tests/arch/common/dynamic_part_select/forloop_select.v b/tests/arch/common/dynamic_part_select/forloop_select.v deleted file mode 100644 index 9276a3ed8..000000000 --- a/tests/arch/common/dynamic_part_select/forloop_select.v +++ /dev/null @@ -1,19 +0,0 @@ -module forloop_select #(parameter WIDTH=256, SELW=4) - (input clk , - input [9:0] ctrl , - input [15:0] din , - input en, - output reg [WIDTH-1:0] dout); - - reg [SELW-1:0] sel; - localparam SLICE = WIDTH/(SELW**2); - - always @(posedge clk) - begin - if (en) begin - for (sel = 0; sel < 4'hf; sel=sel+1'b1) - dout[(ctrl*sel)+:SLICE] <= din; - end - end -endmodule - |