aboutsummaryrefslogtreecommitdiffstats
path: root/tests/xilinx_ug901/rams_init_file.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/xilinx_ug901/rams_init_file.v')
-rw-r--r--tests/xilinx_ug901/rams_init_file.v24
1 files changed, 0 insertions, 24 deletions
diff --git a/tests/xilinx_ug901/rams_init_file.v b/tests/xilinx_ug901/rams_init_file.v
deleted file mode 100644
index 046779af9..000000000
--- a/tests/xilinx_ug901/rams_init_file.v
+++ /dev/null
@@ -1,24 +0,0 @@
-// Initializing Block RAM from external data file
-// Binary data
-// File: rams_init_file.v
-
-module rams_init_file (clk, we, addr, din, dout);
-input clk;
-input we;
-input [5:0] addr;
-input [31:0] din;
-output [31:0] dout;
-
-reg [31:0] ram [0:63];
-reg [31:0] dout;
-
-initial begin
-$readmemb("rams_init_file.data",ram);
-end
-
-always @(posedge clk)
-begin
- if (we)
- ram[addr] <= din;
- dout <= ram[addr];
-end endmodule