aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
...
* Add comment to VIPER #13453 work-aroundClifford Wolf2018-05-281-0/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix Verific handling of single-bit anyseq/anyconst wiresClifford Wolf2018-05-251-2/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix VerificClocking for cases where Verific generates chains of PRIM_SVA_POSEDGEClifford Wolf2018-05-241-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix verific handling of anyconst/anyseq attributesClifford Wolf2018-05-242-16/+28
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #454 from rqou/emscripten-and-abcClifford Wolf2018-05-194-15/+87
|\ | | | | Add option to statically link abc; emscripten fixes
| * Force abc to align memory to 8 bytesRobert Ou2018-05-181-1/+1
| | | | | | | | | | | | | | | | | | | | Apparently abc has a memory pool implementation that by default returns memory that is unaligned. There is a workaround in the abc makefile that uses uname to look for "arm" specifically and then sets the alignment. However, ARM is not the only platform that requires proper alignment (e.g. emscripten does too). For now, pessimistically force the alignment for 8 bytes all the time (somehow 4 wasn't enough for fixing emscripten despite being approximately a 32-bit platform).
| * Modify emscripten main to mount nodefs and to run arg as a scriptRobert Ou2018-05-181-1/+18
| |
| * Force abc to be linked statically and without threads in emscriptenRobert Ou2018-05-181-0/+5
| |
| * Fix infinite loop in abc command under emscriptenRobert Ou2018-05-181-5/+7
| |
| * Fix reading techlibs under emscriptenRobert Ou2018-05-181-1/+1
| |
| * Add options to disable abc's usage of pthreads and readlineRobert Ou2018-05-181-0/+10
| |
| * Add an option to statically link abc into yosysRobert Ou2018-05-182-4/+38
| | | | | | | | This is currently incomplete because the output filter no longer works.
| * Makefile: Make abc always use stdint.hRobert Ou2018-05-181-4/+8
|/
* Merge pull request #550 from jimparis/yosys-upstreamClifford Wolf2018-05-171-1/+6
|\ | | | | Support SystemVerilog `` extension for macros
| * Support SystemVerilog `` extension for macrosJim Paris2018-05-171-1/+5
| |
| * Skip spaces around macro argumentsJim Paris2018-05-171-0/+1
| |
* | Merge pull request #551 from olofk/ice40_cells_sim_portsClifford Wolf2018-05-171-43/+23
|\ \ | |/ |/| Avoid mixing module port declaration styles in ice40 cells_sim.v
| * Avoid mixing module port declaration styles in ice40 cells_sim.vOlof Kindgren2018-05-171-43/+23
|/ | | | | | The current code requires workarounds for several simulators For modelsim, the file must be compiled with -mixedansiports and xsim needs --relax.
* Fix handling of anyconst/anyseq attrs in VHDL code via VerificClifford Wolf2018-05-151-6/+6
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Remove mercurial from build instructionsClifford Wolf2018-05-151-3/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix iopadmap for loops between tristate IO buffersClifford Wolf2018-05-151-0/+21
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix iopadmap for cases where IO pins already have buffers on themClifford Wolf2018-05-151-1/+35
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Some cleanups in setundef.ccClifford Wolf2018-05-131-0/+7
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Use $(OS) in makefile to check for DarwinClifford Wolf2018-05-131-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #505 from thefallenidealist/FreeBSD_buildClifford Wolf2018-05-133-2/+26
|\ | | | | FreeBSD build
| * update READMEJohnny Sorocil2018-05-061-0/+8
| |
| * autotest.sh: Change from /bin/bash to /usr/bin/env bashJohnny Sorocil2018-05-061-1/+1
| | | | | | | | | | This enables running tests on Unix systems which are not shipped with bash installed in /bin/bash (eg *BSDs and Solaris).
| * Enable building on FreeBSDJohnny Sorocil2018-05-061-1/+17
| |
* | Add "#ifdef __FreeBSD__"Christian Krämer2018-05-135-9/+52
| | | | | | | | (Re-commit e3575a8 with corrected author field)
* | Revert "Add "#ifdef __FreeBSD__""Clifford Wolf2018-05-135-52/+9
| | | | | | | | This reverts commit e3575a86c525f2511902e7022893c3923ba8093e.
* | Also interpret '&' in liberty functionsSergiusz Bazanski2018-05-121-1/+1
| |
* | Add optimization of tristate buffer with constant control inputClifford Wolf2018-05-121-0/+17
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Add "hierarchy -simcheck"Clifford Wolf2018-05-121-7/+23
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Further improve handling of zero-length SVA consecutive repetitionClifford Wolf2018-05-051-69/+108
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix handling of zero-length SVA consecutive repetitionClifford Wolf2018-05-051-26/+46
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "#ifdef __FreeBSD__"Johnny Sorocil2018-05-055-9/+52
|
* Add ABC FAQ to "help abc"Clifford Wolf2018-05-041-2/+6
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "yosys -e regex" for turning warnings into errorsClifford Wolf2018-05-043-4/+22
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #537 from mithro/yosys-vprClifford Wolf2018-05-044-11/+48
|\ | | | | Improving Yosys when used with VPR
| * Improving vpr output support.Tim 'mithro' Ansell2018-04-184-7/+40
| | | | | | | | | | | | | | * Support output BLIF for Xilinx architectures. * Support using .names in BLIF for Xilinx architectures. * Use the same `NO_LUT` define in both `synth_ice40` and `synth_xilinx`.
| * synth_ice40: Rework the vpr blif output slightly.Tim 'mithro' Ansell2018-04-181-4/+8
| |
* | Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-035-21/+58
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Support more character literalsDan Gisselquist2018-05-031-1/+9
| |
* | Update ABC to git rev f23ea8eClifford Wolf2018-04-301-1/+1
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Add "synth_intel --noiopads"Clifford Wolf2018-04-301-2/+11
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Add $dlatch support to write_verilogClifford Wolf2018-04-221-0/+38
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "synth_ice40 -nodffe"Clifford Wolf2018-04-161-2/+11
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "write_blif -inames -iattr"Clifford Wolf2018-04-151-22/+46
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add statement labels for immediate assertionsClifford Wolf2018-04-131-18/+21
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Allow "property" in immediate assertionsClifford Wolf2018-04-121-17/+20
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>