aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Collapse)AuthorAgeFilesLines
...
| * | | | Add SB_DFF* to boxesEddie Hung2019-04-183-6/+306
| | | | |
| * | | | Use new -wb flag for ABC flowEddie Hung2019-04-183-19/+5
| | | | |
| * | | | Also update Makefile.incEddie Hung2019-04-181-7/+6
| | | | |
| * | | | Make SB_LUT4 a blackboxEddie Hung2019-04-183-3/+3
| | | | |
| * | | | Fix renameEddie Hung2019-04-181-0/+0
| | | | |
| * | | | Rename to abc_*.{box,lut}Eddie Hung2019-04-186-0/+0
| | | | |
| * | | | Update Makefile.inc tooEddie Hung2019-04-171-4/+6
| | | | |
| * | | | Reduce to three devices: hx, lp, uEddie Hung2019-04-177-4/+23
| | | | |
| * | | | Add up5k timingsEddie Hung2019-04-172-0/+19
| | | | |
| * | | | Fix grammarEddie Hung2019-04-171-2/+2
| | | | |
| * | | | Update error messageEddie Hung2019-04-171-1/+1
| | | | |
| * | | | Add "-device" argument to synth_ice40Eddie Hung2019-04-174-7/+20
| | | | |
| * | | | Missing abc_flop_q attribute on SPRAMEddie Hung2019-04-171-1/+1
| | | | |
| * | | | Map to SB_LUT4 from fastest input firstEddie Hung2019-04-171-7/+11
| | | | |
| * | | | Mark seq output ports with "abc_flop_q" attrEddie Hung2019-04-171-24/+24
| | | | |
| * | | | Also update Makefile.incEddie Hung2019-04-171-3/+3
| | | | |
| * | | | synth_ice40 to use renamed filesEddie Hung2019-04-171-2/+2
| | | | |
| * | | | Rename to abc.*Eddie Hung2019-04-173-0/+0
| | | | |
| * | | | Revert "Try using an ICE40_CARRY_LUT primitive to avoid ABC issues"Eddie Hung2019-04-177-102/+35
| | | | | | | | | | | | | | | | | | | | This reverts commit a7632ab3326c5247b8152a53808413b259c13253.
| * | | | Try using an ICE40_CARRY_LUT primitive to avoid ABC issuesEddie Hung2019-04-177-35/+102
| | | | |
| * | | | Fix spacingEddie Hung2019-04-171-5/+5
| | | | |
| * | | | Add SB_LUT4 to box libraryEddie Hung2019-04-163-0/+16
| | | | |
| * | | | Add ice40 box filesEddie Hung2019-04-166-1/+27
| | | | |
* | | | | Merge remote-tracking branch 'origin/xc7srl' into xc7muxEddie Hung2019-04-2217-52/+694
|\ \ \ \ \ | | |_|_|/ | |/| | |
| * | | | Update help messageEddie Hung2019-04-221-1/+1
| | | | |
| * | | | Move 'shregmap -tech xilinx' into map_cellsEddie Hung2019-04-221-17/+20
| | | | |
| * | | | Merge remote-tracking branch 'origin/master' into xc7srlEddie Hung2019-04-2212-21/+480
| |\ \ \ \ | | | |_|/ | | |/| |
| | * | | Merge pull request #941 from Wren6991/sim_lib_io_clkeClifford Wolf2019-04-221-10/+19
| | |\ \ \ | | | | | | | | | | | | ice40 cells_sim.v: update clock enable behaviour based on hardware experiments
| | | * | | ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware ↵Luke Wren2019-04-211-10/+19
| | | | | | | | | | | | | | | | | | | | | | | | experiments
| | * | | | Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-masterClifford Wolf2019-04-2210-10/+458
| | |\ \ \ \
| | | * | | | GoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flowDiego2019-04-1210-11/+459
| | | |/ / /
| | * | | | Re-added clean after techmap in synth_xilinxClifford Wolf2019-04-221-0/+2
| | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| | * | | | Merge pull request #916 from YosysHQ/map_cells_before_map_lutsClifford Wolf2019-04-221-10/+10
| | |\ \ \ \ | | | | | | | | | | | | | | synth_xilinx to map_cells before map_luts
| | * \ \ \ \ Merge pull request #911 from mmicko/gowin-nobramClifford Wolf2019-04-221-1/+1
| | |\ \ \ \ \ | | | |_|_|_|/ | | |/| | | | Make nobram false by default for gowin
| | | * | | | Make nobram false by default for gowinMiodrag Milanovic2019-04-021-1/+1
| | | | | | |
| * | | | | | Tidy up, fix for -nosrlEddie Hung2019-04-212-12/+16
| | | | | | |
| * | | | | | Merge branch 'map_cells_before_map_luts' into xc7srlEddie Hung2019-04-211-2/+2
| |\ \ \ \ \ \ | | | |_|/ / / | | |/| | | |
| | * | | | | Merge branch 'master' into map_cells_before_map_lutsEddie Hung2019-04-216-59/+85
| | |\| | | |
| * | | | | | Add commentsEddie Hung2019-04-211-0/+7
| | | | | | |
| * | | | | | Use new pmux2shiftx from #944, remove my old attemptEddie Hung2019-04-211-3/+8
| | | | | | |
| * | | | | | Merge remote-tracking branch 'origin' into xc7srlEddie Hung2019-04-204-44/+69
| |\ \ \ \ \ \ | | | |/ / / / | | |/| | | |
| | * | | | | Revert "synth_* with -retime option now calls abc with -D 1 as well"Eddie Hung2019-04-1811-15/+15
| | | | | | | | | | | | | | | | | | | | | | | | | | | | This reverts commit 9a6da9a79a22e984ee3eec02caa230b66f10e11a.
| | * | | | | Merge branch 'master' into eddie/fix_retimeEddie Hung2019-04-184-44/+69
| | |\ \ \ \ \ | | | | |_|/ / | | | |/| | |
| | * | | | | synth_* with -retime option now calls abc with -D 1 as wellEddie Hung2019-04-1011-15/+15
| | | | | | |
| * | | | | | Call shregmap twice -- once for variable, another for fixedEddie Hung2019-04-052-8/+14
| | | | | | |
| * | | | | | Move dffinit til after abcEddie Hung2019-04-053-2/+2
| | | | | | |
| * | | | | | Merge branch 'eddie/fix_retime' into xc7srlEddie Hung2019-04-054-11/+12
| |\| | | | |
| | * | | | | Move techamp t:$_DFF_?N? to before abc callEddie Hung2019-04-051-2/+2
| | | | | | |
| | * | | | | RetryEddie Hung2019-04-051-1/+1
| | | | | | |
| | * | | | | Resolve @daveshah1 comment, update synth_xilinx helpEddie Hung2019-04-052-7/+9
| | | | | | |