aboutsummaryrefslogtreecommitdiffstats
path: root/target/linux/ramips/dts/OY-0001.dts
Commit message (Expand)AuthorAgeFilesLines
* ramips: update device tree source filesL. D. Pinney2017-08-031-4/+5
* ramips: cleanup SPI flash device tree properties usageMathias Kresin2017-02-021-1/+0
* treewide: dts: use keycode defines from input dt-bindingMathias Kresin2016-11-131-1/+3
* ramips: fix size-cells on spi nodesÁlvaro Fernández Rojas2016-05-181-1/+1
* ramips: DTS reworkStanislav Galabov2016-05-121-86/+83
* ramips: Change all '/include/' clauses to '#include' so preprocessing canStanislav Galabov2016-05-101-1/+1
* ramips: update DTS files to use jedec,spi-nor compatible string for m25p80 to...Felix Fietkau2015-12-181-1/+1
* ralink: fixup devicetree files to work with new ethernet driverJohn Crispin2015-12-171-2/+2
* ramips: use consistent naming scheme for LEDsJohn Crispin2015-08-171-2/+2
* ramips: fix indentation and other mistakes in .dts{, i} filesJohn Crispin2015-08-171-4/+6
* ramips: adding support for OY-0001 Wireless RouterJohn Crispin2015-07-141-0/+123
ht .si { color: #3333bb; background-color: #fff0f0 } /* Literal.String.Interpol */ .highlight .sx { color: #22bb22; background-color: #f0fff0 } /* Literal.String.Other */ .highlight .sr { color: #008800; background-color: #fff0ff } /* Literal.String.Regex */ .highlight .s1 { color: #dd2200; background-color: #fff0f0 } /* Literal.String.Single */ .highlight .ss { color: #aa6600; background-color: #fff0f0 } /* Literal.String.Symbol */ .highlight .bp { color: #003388 } /* Name.Builtin.Pseudo */ .highlight .fm { color: #0066bb; font-weight: bold } /* Name.Function.Magic */ .highlight .vc { color: #336699 } /* Name.Variable.Class */ .highlight .vg { color: #dd7700 } /* Name.Variable.Global */ .highlight .vi { color: #3333bb } /* Name.Variable.Instance */ .highlight .vm { color: #336699 } /* Name.Variable.Magic */ .highlight .il { color: #0000DD; font-weight: bold } /* Literal.Number.Integer.Long */
module \$lut (A, Y);
  parameter WIDTH = 0;
  parameter LUT = 0;

  (* force_downto *)
  input [WIDTH-1:0] A;
  output Y;

  generate
    if (WIDTH == 1) begin
      localparam [15:0] INIT = {{8{LUT[1]}}, {8{LUT[0]}}};
      SB_LUT4 #(.LUT_INIT(INIT)) _TECHMAP_REPLACE_ (.O(Y),
        .I0(1'b0), .I1(1'b0), .I2(1'b0), .I3(A[0]));
    end else
    if (WIDTH == 2) begin
      localparam [15:0] INIT = {{4{LUT[3]}}, {4{LUT[2]}}, {4{LUT[1]}}, {4{LUT[0]}}};
      SB_LUT4 #(.LUT_INIT(INIT)) _TECHMAP_REPLACE_ (.O(Y),
        .I0(1'b0), .I1(1'b0), .I2(A[0]), .I3(A[1]));
    end else
    if (WIDTH == 3) begin
      localparam [15:0] INIT = {{2{LUT[7]}}, {2{LUT[6]}}, {2{LUT[5]}}, {2{LUT[4]}}, {2{LUT[3]}}, {2{LUT[2]}}, {2{LUT[1]}}, {2{LUT[0]}}};
      SB_LUT4 #(.LUT_INIT(INIT)) _TECHMAP_REPLACE_ (.O(Y),
        .I0(1'b0), .I1(A[0]), .I2(A[1]), .I3(A[2]));
    end else
    if (WIDTH == 4) begin
      SB_LUT4 #(.LUT_INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
        .I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(A[3]));
    end else begin
      wire _TECHMAP_FAIL_ = 1;
    end
  endgenerate
endmodule