aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-22 06:52:48 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-22 06:52:48 +0200
commit29bf7356c3033b076adc9b81ffe1f55c78088d81 (patch)
tree425ae0d33d59b0f0d6d7657fb77ed251158276f9 /README.md
parent9b22f648af5d4e14257dc59630b50859db97c3bb (diff)
downloadghdl-yosys-plugin-29bf7356c3033b076adc9b81ffe1f55c78088d81.tar.gz
ghdl-yosys-plugin-29bf7356c3033b076adc9b81ffe1f55c78088d81.tar.bz2
ghdl-yosys-plugin-29bf7356c3033b076adc9b81ffe1f55c78088d81.zip
README.md: remove static build instructions.
Was not working anymore.
Diffstat (limited to 'README.md')
-rw-r--r--README.md19
1 files changed, 0 insertions, 19 deletions
diff --git a/README.md b/README.md
index 9e886f9..e0cbcc0 100644
--- a/README.md
+++ b/README.md
@@ -13,7 +13,6 @@
**This is experimental and work in progress!** See [ghdl.rtfd.io: Using/Synthesis](http://ghdl.readthedocs.io/en/latest/using/Synthesis.html).
- [Build as a module (shared library)](#build-as-a-module-shared-library)
-- [Build as part of yosys (not recommended)](#build-as-part-of-yosys-not-recommended)
- [Usage](#Usage)
- [Docker](#Docker)
@@ -47,24 +46,6 @@ yosys-config --exec mkdir -p --datdir/plugins
yosys-config --exec ln -s "$GHDL_PREFIX/lib/ghdl_yosys.so" --datdir/plugins/ghdl.so
```
-## Build as part of yosys (not recommended)
-
-- Get and build ghdl as in the previous section.
-
-- Get [yosys](https://github.com/YosysHQ/yosys) sources.
-
-- Get ghdl-yosys-plugin and:
- - Patch yosys sources using `yosys.diff`.
- - Copy `src/*` to `yosys/frontends/ghdl`.
- - Configure yosys by adding (to) `Makefile.conf`:
-
-```makefile
-ENABLE_GHDL := 1
-GHDL_DIR := <ghdl install dir>
-```
-
-- Build and install yosys.
-
## Usage
Example for icestick, using ghdl, yosys, nextpnr and icestorm: