aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icestick/leds/multi2.vhdl
diff options
context:
space:
mode:
authorAimylios <20016942+aimylios@users.noreply.github.com>2020-04-19 14:20:37 +0200
committertgingold <tgingold@users.noreply.github.com>2020-04-19 16:08:35 +0200
commit8bb8453af7acd34705a449cac9cd8427d6db43ba (patch)
tree9243aaa5ec9c4f91abd7291af432dbba41772815 /examples/icestick/leds/multi2.vhdl
parentd941c8f65bbbb90f97c17e26b5610624c2198b10 (diff)
downloadghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.tar.gz
ghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.tar.bz2
ghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.zip
Improve examples for Lattice iCEstick
- move "leds" examples to subdirectory - add Makefile - add *.json files to .gitignore - adjust README.md and fix some typos
Diffstat (limited to 'examples/icestick/leds/multi2.vhdl')
-rw-r--r--examples/icestick/leds/multi2.vhdl41
1 files changed, 41 insertions, 0 deletions
diff --git a/examples/icestick/leds/multi2.vhdl b/examples/icestick/leds/multi2.vhdl
new file mode 100644
index 0000000..78bf298
--- /dev/null
+++ b/examples/icestick/leds/multi2.vhdl
@@ -0,0 +1,41 @@
+architecture multi2 of leds is
+ signal clk_4hz: std_logic;
+ signal clk_5sec : std_logic;
+begin
+ process (clk)
+ -- 3_000_000 is 0x2dc6c0
+ variable counter : unsigned (23 downto 0);
+ begin
+ if rising_edge(clk) then
+ if counter = 2_999_999 then
+ counter := x"000000";
+ clk_4hz <= '1';
+ else
+ counter := counter + 1;
+ clk_4hz <= '0';
+ end if;
+ end if;
+ end process;
+
+ process (clk)
+ variable counter5 : unsigned (4 downto 0);
+ begin
+ if rising_edge (clk) then
+ clk_5sec <= '0';
+ if clk_4hz = '1' then
+ if counter5 = 19 then
+ clk_5sec <= '1';
+ counter5 := "00000";
+ else
+ counter5 := counter5 + 1;
+ end if;
+ end if;
+ end if;
+ end process;
+
+ led1 <= clk_5sec;
+ led2 <= '0';
+ led3 <= '0';
+ led4 <= '0';
+ led5 <= '0';
+end multi2;