aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icezum/pushbutton.vhdl
diff options
context:
space:
mode:
authoreine <6628437+eine@users.noreply.github.com>2020-01-19 03:25:43 +0000
committertgingold <tgingold@users.noreply.github.com>2020-01-19 04:25:43 +0100
commit910073d647e55d133494429d8c3a4bacffc32428 (patch)
tree6b1e616a1f670d44b03c1239ab5cba8aff15b909 /examples/icezum/pushbutton.vhdl
parent175123cda990ee2b5cfac461bd8ec44956da302a (diff)
downloadghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.gz
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.bz2
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.zip
migrate from Travis to GHA and rework examples (#78)
* migrate from Travis to GHA * rework examples
Diffstat (limited to 'examples/icezum/pushbutton.vhdl')
-rw-r--r--examples/icezum/pushbutton.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/examples/icezum/pushbutton.vhdl b/examples/icezum/pushbutton.vhdl
new file mode 100644
index 0000000..55ede2f
--- /dev/null
+++ b/examples/icezum/pushbutton.vhdl
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity pushbutton is
+ port (
+ sw1, sw2 : in std_logic;
+ led0, led7 : out std_logic
+ );
+end pushbutton;
+
+architecture synth of pushbutton is
+ signal a : std_logic;
+begin
+ a <= sw1 and sw2;
+ led0 <= a;
+ led7 <= not a;
+end synth;