aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icezum/pushbutton.vhdl
blob: 55ede2fd3f8858e77297fc7eef5593a626cf3e96 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity pushbutton is
  port (
    sw1, sw2   : in std_logic;
    led0, led7 : out std_logic
  );
end pushbutton;

architecture synth of pushbutton is
  signal a : std_logic;
begin
  a    <= sw1 and sw2;
  led0 <= a;
  led7 <= not a;
end synth;