aboutsummaryrefslogtreecommitdiffstats
path: root/icestick/uart/syn/synth.sh
diff options
context:
space:
mode:
authoreine <6628437+eine@users.noreply.github.com>2020-01-19 03:25:43 +0000
committertgingold <tgingold@users.noreply.github.com>2020-01-19 04:25:43 +0100
commit910073d647e55d133494429d8c3a4bacffc32428 (patch)
tree6b1e616a1f670d44b03c1239ab5cba8aff15b909 /icestick/uart/syn/synth.sh
parent175123cda990ee2b5cfac461bd8ec44956da302a (diff)
downloadghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.gz
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.bz2
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.zip
migrate from Travis to GHA and rework examples (#78)
* migrate from Travis to GHA * rework examples
Diffstat (limited to 'icestick/uart/syn/synth.sh')
-rwxr-xr-xicestick/uart/syn/synth.sh15
1 files changed, 0 insertions, 15 deletions
diff --git a/icestick/uart/syn/synth.sh b/icestick/uart/syn/synth.sh
deleted file mode 100755
index 884f1b6..0000000
--- a/icestick/uart/syn/synth.sh
+++ /dev/null
@@ -1,15 +0,0 @@
-set -e
-
-ROOT="$(pwd)/.."
-
-rm -rf build
-mkdir -p build
-cd build
-
-ghdl -a "$ROOT"/hdl/uart_rx.vhd
-ghdl -a "$ROOT"/hdl/uart_tx.vhd
-ghdl -a "$ROOT"/hdl/uart_top.vhd
-yosys -m ghdl -p 'ghdl uart_top; synth_ice40 -json uart_top.json'
-nextpnr-ice40 --hx1k --json uart_top.json --pcf ../constraints/uart.pcf --asc uart_top.asc --pcf-allow-unconstrained
-icepack uart_top.asc uart_top.bin
-iceprog uart_top.bin