aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/ghdl-issues/xfail1/test.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-05 05:02:00 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-05 05:02:00 +0100
commit4d1d02bc7e777a39122fdeefaa4559615c1d106d (patch)
treefcfeb8b4983fd7700bfc3cff23a486b63ef90d6f /testsuite/ghdl-issues/xfail1/test.vhdl
parente7432e0ba23e9eb78bb7a381ac4d34431d60b3a1 (diff)
downloadghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.tar.gz
ghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.tar.bz2
ghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.zip
testsuite: add xfail1 test
Diffstat (limited to 'testsuite/ghdl-issues/xfail1/test.vhdl')
-rw-r--r--testsuite/ghdl-issues/xfail1/test.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/xfail1/test.vhdl b/testsuite/ghdl-issues/xfail1/test.vhdl
new file mode 100644
index 0000000..f9f8ed5
--- /dev/null
+++ b/testsuite/ghdl-issues/xfail1/test.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity test is
+ port(
+ clk : in std_logic
+ );
+end entity test;
+
+architecture behaviour of test is
+begin
+ clk <= '1';
+end architecture behaviour;