aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/ghdl-issues/xfail1/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-05 05:02:00 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-05 05:02:00 +0100
commit4d1d02bc7e777a39122fdeefaa4559615c1d106d (patch)
treefcfeb8b4983fd7700bfc3cff23a486b63ef90d6f /testsuite/ghdl-issues/xfail1/testsuite.sh
parente7432e0ba23e9eb78bb7a381ac4d34431d60b3a1 (diff)
downloadghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.tar.gz
ghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.tar.bz2
ghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.zip
testsuite: add xfail1 test
Diffstat (limited to 'testsuite/ghdl-issues/xfail1/testsuite.sh')
-rwxr-xr-xtestsuite/ghdl-issues/xfail1/testsuite.sh12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/xfail1/testsuite.sh b/testsuite/ghdl-issues/xfail1/testsuite.sh
new file mode 100755
index 0000000..f73af4f
--- /dev/null
+++ b/testsuite/ghdl-issues/xfail1/testsuite.sh
@@ -0,0 +1,12 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+if synth_import --std=08 test.vhdl -e; then
+ echo "test is expected to fail"
+ exit 1
+fi
+
+clean
+echo OK