aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/test-icezum/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-02-14 05:46:00 +0100
committerTristan Gingold <tgingold@free.fr>2017-02-14 05:46:00 +0100
commite52d581c33248aefc47e7c97ce6978d6bda31bfe (patch)
tree42f61dabe4f2f8816725631eb30f4ddafd6fae6b /testsuite/test-icezum/testsuite.sh
parent37f32aeba8adf5696f64b4d17298a7e3e5c4a38e (diff)
downloadghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.tar.gz
ghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.tar.bz2
ghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.zip
Add tests for icezum
Diffstat (limited to 'testsuite/test-icezum/testsuite.sh')
-rwxr-xr-xtestsuite/test-icezum/testsuite.sh17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/test-icezum/testsuite.sh b/testsuite/test-icezum/testsuite.sh
new file mode 100755
index 0000000..a3a71e5
--- /dev/null
+++ b/testsuite/test-icezum/testsuite.sh
@@ -0,0 +1,17 @@
+#!/bin/sh
+
+. ../testenv.sh
+
+analyze ../../icezum/led_on/led_on.vhdl
+synth led_on
+
+analyze ../../icezum/blink/blink.vhdl
+synth blink
+
+analyze ../../icezum/pushbutton/pushbutton.vhdl
+synth pushbutton
+
+analyze ../../icezum/pushbutton_and/pushbutton_and.vhdl
+synth pushbutton_and
+
+clean