diff options
author | Tristan Gingold <tgingold@free.fr> | 2017-02-14 05:46:00 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2017-02-14 05:46:00 +0100 |
commit | e52d581c33248aefc47e7c97ce6978d6bda31bfe (patch) | |
tree | 42f61dabe4f2f8816725631eb30f4ddafd6fae6b /testsuite | |
parent | 37f32aeba8adf5696f64b4d17298a7e3e5c4a38e (diff) | |
download | ghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.tar.gz ghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.tar.bz2 ghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.zip |
Add tests for icezum
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/issue4/novector.vhdl | 16 | ||||
-rwxr-xr-x | testsuite/test-icezum/testsuite.sh | 17 | ||||
-rw-r--r-- | testsuite/testenv.sh | 10 |
3 files changed, 41 insertions, 2 deletions
diff --git a/testsuite/issue4/novector.vhdl b/testsuite/issue4/novector.vhdl new file mode 100644 index 0000000..a3c2c46 --- /dev/null +++ b/testsuite/issue4/novector.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity no_vector is + port (led0: out std_logic); +end no_vector; + +architecture synth of no_vector is + +signal nv : std_logic; + +begin + nv <= '1'; + led0 <= nv; +end synth; diff --git a/testsuite/test-icezum/testsuite.sh b/testsuite/test-icezum/testsuite.sh new file mode 100755 index 0000000..a3a71e5 --- /dev/null +++ b/testsuite/test-icezum/testsuite.sh @@ -0,0 +1,17 @@ +#!/bin/sh + +. ../testenv.sh + +analyze ../../icezum/led_on/led_on.vhdl +synth led_on + +analyze ../../icezum/blink/blink.vhdl +synth blink + +analyze ../../icezum/pushbutton/pushbutton.vhdl +synth pushbutton + +analyze ../../icezum/pushbutton_and/pushbutton_and.vhdl +synth pushbutton_and + +clean diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh index 87aca65..25ff30c 100644 --- a/testsuite/testenv.sh +++ b/testsuite/testenv.sh @@ -10,16 +10,22 @@ if [ x"$YOSYS" = x ]; then YOSYS="yosys -m ../../ghdl.so" fi +cmd () +{ + echo "$@" + "$@" +} + analyze () { echo "analyze $@" - "$GHDL" -a $GHDL_STD_FLAGS $GHDL_FLAGS $@ + cmd "$GHDL" -a $GHDL_STD_FLAGS $GHDL_FLAGS $@ } synth () { echo "synthesize $@" - "$YOSYS" -p "ghdl $@; synth_ice40 -blif out.blif" + cmd "$YOSYS" -Q -q -p "ghdl $@; synth_ice40 -blif out.blif" } clean () |