aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authoreine <6628437+eine@users.noreply.github.com>2020-01-19 03:25:43 +0000
committertgingold <tgingold@users.noreply.github.com>2020-01-19 04:25:43 +0100
commit910073d647e55d133494429d8c3a4bacffc32428 (patch)
tree6b1e616a1f670d44b03c1239ab5cba8aff15b909 /testsuite
parent175123cda990ee2b5cfac461bd8ec44956da302a (diff)
downloadghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.gz
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.bz2
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.zip
migrate from Travis to GHA and rework examples (#78)
* migrate from Travis to GHA * rework examples
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/examples/test-ice40hx8k/testsuite.sh2
-rwxr-xr-xtestsuite/examples/test-icestick/testsuite.sh2
-rwxr-xr-xtestsuite/examples/test-icezum/testsuite.sh10
-rw-r--r--testsuite/testenv.sh12
4 files changed, 13 insertions, 13 deletions
diff --git a/testsuite/examples/test-ice40hx8k/testsuite.sh b/testsuite/examples/test-ice40hx8k/testsuite.sh
index 3bf7f9c..52e061a 100755
--- a/testsuite/examples/test-ice40hx8k/testsuite.sh
+++ b/testsuite/examples/test-ice40hx8k/testsuite.sh
@@ -3,7 +3,7 @@
topdir=../..
. $topdir/testenv.sh
-src=../../../ice40hx8k
+src=../../../examples/ice40hx8k
synth_ice40 $src/leds.vhdl $src/spin1.vhdl -e leds
synth_ice40 $src/leds.vhdl $src/spin2.vhdl -e leds
diff --git a/testsuite/examples/test-icestick/testsuite.sh b/testsuite/examples/test-icestick/testsuite.sh
index 025e8c2..7184fcc 100755
--- a/testsuite/examples/test-icestick/testsuite.sh
+++ b/testsuite/examples/test-icestick/testsuite.sh
@@ -3,7 +3,7 @@
topdir=../..
. $topdir/testenv.sh
-src=../../../icestick
+src=../../../examples/icestick
# spin2
diff --git a/testsuite/examples/test-icezum/testsuite.sh b/testsuite/examples/test-icezum/testsuite.sh
index 4906689..5386f10 100755
--- a/testsuite/examples/test-icezum/testsuite.sh
+++ b/testsuite/examples/test-icezum/testsuite.sh
@@ -3,11 +3,11 @@
topdir=../..
. $topdir/testenv.sh
-src=../../../icezum
+src=../../../examples/icezum
-synth_ice40 $src/led_on/led_on.vhdl -e led_on
-synth_ice40 $src/blink/blink.vhdl -e blink
-synth_ice40 $src/pushbutton/pushbutton.vhdl -e pushbutton
-synth_ice40 $src/pushbutton_and/pushbutton_and.vhdl -e pushbutton_and
+synth_ice40 $src/led_on.vhdl -e led_on
+synth_ice40 $src/blink.vhdl -e blink
+synth_ice40 $src/pushbutton.vhdl -e pushbutton
+synth_ice40 $src/counter.vhdl -e counter
clean
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index 5dd7811..0046d49 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -47,18 +47,18 @@ analyze ()
synth_import ()
{
- travis_start "synth" "Synthesize $*"
+ gstart "Synthesize $*"
run_yosys -q -p "ghdl $*"
status=$?
- travis_finish "synth"
+ gend
return $status
}
synth_ice40 ()
{
- travis_start "synth" "Synthesize $*"
+ gstart "synth" "Synthesize $*"
run_yosys -q -p "ghdl $*; synth_ice40 -blif out.blif"
- travis_finish "synth"
+ gend
}
synth ()
@@ -68,9 +68,9 @@ synth ()
formal ()
{
- travis_start "formal" "Verify $@"
+ gstart "Verify $@"
run_symbiyosys -f -d work $@.sby
- travis_finish "formal"
+ gend
}
clean ()