aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/examples/test-icestick/testsuite.sh
blob: 7184fcc0b9935816ea514931cb911bba63fe26ad (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

src=../../../examples/icestick

# spin2

for f in fixed1 blink multi1 multi2 spin1 rotate1 rotate2 rotate3 rotate4; do
 synth_ice40 $src/leds.vhdl $src/${f}.vhdl -e leds
done

UART_SRC=$src/uart/hdl
synth_ice40 $UART_SRC/uart_rx.vhd $UART_SRC/uart_tx.vhd $UART_SRC/uart_top.vhd -e uart_top

clean