aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-09-27 09:56:42 +0200
committerTristan Gingold <tgingold@free.fr>2020-09-27 09:57:18 +0200
commita5b45005f091ab16c108279a0c15334efc0347d3 (patch)
treeebb48b34ce5f0ddab3472569ebabeb6e984e8ba5 /testsuite
parent7d100bbe4c8c8cfbcba13231c47683b52b220b86 (diff)
downloadghdl-yosys-plugin-a5b45005f091ab16c108279a0c15334efc0347d3.tar.gz
ghdl-yosys-plugin-a5b45005f091ab16c108279a0c15334efc0347d3.tar.bz2
ghdl-yosys-plugin-a5b45005f091ab16c108279a0c15334efc0347d3.zip
testsuite/issues: renames pr61 to issue61
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/issues/issue61/testsuite.sh (renamed from testsuite/issues/pr61/testsuite.sh)0
-rw-r--r--testsuite/issues/issue61/vector.vhdl (renamed from testsuite/issues/pr61/vector.vhdl)0
2 files changed, 0 insertions, 0 deletions
diff --git a/testsuite/issues/pr61/testsuite.sh b/testsuite/issues/issue61/testsuite.sh
index d7d94d6..d7d94d6 100755
--- a/testsuite/issues/pr61/testsuite.sh
+++ b/testsuite/issues/issue61/testsuite.sh
diff --git a/testsuite/issues/pr61/vector.vhdl b/testsuite/issues/issue61/vector.vhdl
index 34274be..34274be 100644
--- a/testsuite/issues/pr61/vector.vhdl
+++ b/testsuite/issues/issue61/vector.vhdl