aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-01-20 07:03:07 +0100
committerTristan Gingold <tgingold@free.fr>2020-01-20 07:03:42 +0100
commitbfb4f21519374e8ffb88813722a2d26308ec685c (patch)
tree6f56b13603f9e22d0e5ff2991da22f658f8ee1ca /testsuite
parent910073d647e55d133494429d8c3a4bacffc32428 (diff)
downloadghdl-yosys-plugin-bfb4f21519374e8ffb88813722a2d26308ec685c.tar.gz
ghdl-yosys-plugin-bfb4f21519374e8ffb88813722a2d26308ec685c.tar.bz2
ghdl-yosys-plugin-bfb4f21519374e8ffb88813722a2d26308ec685c.zip
Make test logs mode consistent: Add OK status. For #79
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/formal/shifts/testsuite.sh1
-rwxr-xr-xtestsuite/ghdl-issues/issue1000/testsuite.sh1
-rwxr-xr-xtestsuite/issues/issue11/testsuite.sh1
-rwxr-xr-xtestsuite/issues/issue4/testsuite.sh1
-rwxr-xr-xtestsuite/issues/issue6/testsuite.sh1
-rwxr-xr-xtestsuite/issues/issue7/testsuite.sh1
6 files changed, 6 insertions, 0 deletions
diff --git a/testsuite/formal/shifts/testsuite.sh b/testsuite/formal/shifts/testsuite.sh
index eb04217..935e995 100755
--- a/testsuite/formal/shifts/testsuite.sh
+++ b/testsuite/formal/shifts/testsuite.sh
@@ -8,3 +8,4 @@ for f in lsl lsr asr; do
done
clean
+echo OK
diff --git a/testsuite/ghdl-issues/issue1000/testsuite.sh b/testsuite/ghdl-issues/issue1000/testsuite.sh
index 981976c..136ceed 100755
--- a/testsuite/ghdl-issues/issue1000/testsuite.sh
+++ b/testsuite/ghdl-issues/issue1000/testsuite.sh
@@ -6,3 +6,4 @@ topdir=../..
synth_import --std=08 test.vhdl -e
clean
+echo OK
diff --git a/testsuite/issues/issue11/testsuite.sh b/testsuite/issues/issue11/testsuite.sh
index 03b7cb6..730b75b 100755
--- a/testsuite/issues/issue11/testsuite.sh
+++ b/testsuite/issues/issue11/testsuite.sh
@@ -8,3 +8,4 @@ for f in or xor nor nand xnor; do
done
clean
+echo OK
diff --git a/testsuite/issues/issue4/testsuite.sh b/testsuite/issues/issue4/testsuite.sh
index b1107b0..69bee45 100755
--- a/testsuite/issues/issue4/testsuite.sh
+++ b/testsuite/issues/issue4/testsuite.sh
@@ -8,3 +8,4 @@ for f in no_vector counter8 vector; do
done
clean
+echo OK
diff --git a/testsuite/issues/issue6/testsuite.sh b/testsuite/issues/issue6/testsuite.sh
index 008fd86..3ce03d9 100755
--- a/testsuite/issues/issue6/testsuite.sh
+++ b/testsuite/issues/issue6/testsuite.sh
@@ -6,3 +6,4 @@ topdir=../..
synth 'vector.vhdl -e vector'
clean
+echo OK
diff --git a/testsuite/issues/issue7/testsuite.sh b/testsuite/issues/issue7/testsuite.sh
index 2ca1ccb..47debdb 100755
--- a/testsuite/issues/issue7/testsuite.sh
+++ b/testsuite/issues/issue7/testsuite.sh
@@ -19,3 +19,4 @@ run_yosys -q -p '
clean
rm -f *.v
+echo OK