aboutsummaryrefslogtreecommitdiffstats
path: root/icestick/uart/syn/synth.sh
diff options
context:
space:
mode:
Diffstat (limited to 'icestick/uart/syn/synth.sh')
-rwxr-xr-xicestick/uart/syn/synth.sh15
1 files changed, 0 insertions, 15 deletions
diff --git a/icestick/uart/syn/synth.sh b/icestick/uart/syn/synth.sh
deleted file mode 100755
index 884f1b6..0000000
--- a/icestick/uart/syn/synth.sh
+++ /dev/null
@@ -1,15 +0,0 @@
-set -e
-
-ROOT="$(pwd)/.."
-
-rm -rf build
-mkdir -p build
-cd build
-
-ghdl -a "$ROOT"/hdl/uart_rx.vhd
-ghdl -a "$ROOT"/hdl/uart_tx.vhd
-ghdl -a "$ROOT"/hdl/uart_top.vhd
-yosys -m ghdl -p 'ghdl uart_top; synth_ice40 -json uart_top.json'
-nextpnr-ice40 --hx1k --json uart_top.json --pcf ../constraints/uart.pcf --asc uart_top.asc --pcf-allow-unconstrained
-icepack uart_top.asc uart_top.bin
-iceprog uart_top.bin