aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issue11/test_xor.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issue11/test_xor.vhdl')
-rw-r--r--testsuite/issue11/test_xor.vhdl14
1 files changed, 0 insertions, 14 deletions
diff --git a/testsuite/issue11/test_xor.vhdl b/testsuite/issue11/test_xor.vhdl
deleted file mode 100644
index b856745..0000000
--- a/testsuite/issue11/test_xor.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_xor is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_xor;
-
-architecture synth of test_xor is
-begin
-
- c <= sel1 xor sel0;
-
-end synth;