aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issue11
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issue11')
-rw-r--r--testsuite/issue11/test_nand.vhdl14
-rw-r--r--testsuite/issue11/test_nor.vhdl14
-rw-r--r--testsuite/issue11/test_or.vhdl14
-rw-r--r--testsuite/issue11/test_xnor.vhdl14
-rw-r--r--testsuite/issue11/test_xor.vhdl14
-rwxr-xr-xtestsuite/issue11/testsuite.sh9
6 files changed, 0 insertions, 79 deletions
diff --git a/testsuite/issue11/test_nand.vhdl b/testsuite/issue11/test_nand.vhdl
deleted file mode 100644
index ae60966..0000000
--- a/testsuite/issue11/test_nand.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_nand is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_nand;
-
-architecture synth of test_nand is
-begin
-
- c <= sel1 nand sel0;
-
-end synth;
diff --git a/testsuite/issue11/test_nor.vhdl b/testsuite/issue11/test_nor.vhdl
deleted file mode 100644
index f5f911e..0000000
--- a/testsuite/issue11/test_nor.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_nor is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_nor;
-
-architecture synth of test_nor is
-begin
-
- c <= sel1 nor sel0;
-
-end synth;
diff --git a/testsuite/issue11/test_or.vhdl b/testsuite/issue11/test_or.vhdl
deleted file mode 100644
index d39d064..0000000
--- a/testsuite/issue11/test_or.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_or is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_or;
-
-architecture synth of test_or is
-begin
-
- c <= sel1 or sel0;
-
-end synth;
diff --git a/testsuite/issue11/test_xnor.vhdl b/testsuite/issue11/test_xnor.vhdl
deleted file mode 100644
index 4a706f0..0000000
--- a/testsuite/issue11/test_xnor.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_xnor is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_xnor;
-
-architecture synth of test_xnor is
-begin
-
- c <= sel1 xnor sel0;
-
-end synth;
diff --git a/testsuite/issue11/test_xor.vhdl b/testsuite/issue11/test_xor.vhdl
deleted file mode 100644
index b856745..0000000
--- a/testsuite/issue11/test_xor.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_xor is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_xor;
-
-architecture synth of test_xor is
-begin
-
- c <= sel1 xor sel0;
-
-end synth;
diff --git a/testsuite/issue11/testsuite.sh b/testsuite/issue11/testsuite.sh
deleted file mode 100755
index e281ee9..0000000
--- a/testsuite/issue11/testsuite.sh
+++ /dev/null
@@ -1,9 +0,0 @@
-#!/bin/sh
-
-. ../testenv.sh
-
-for f in or xor nor nand xnor; do
- synth "test_${f}.vhdl -e test_${f}"
-done
-
-clean