aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue11/test_nor.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issues/issue11/test_nor.vhdl')
-rw-r--r--testsuite/issues/issue11/test_nor.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/issues/issue11/test_nor.vhdl b/testsuite/issues/issue11/test_nor.vhdl
new file mode 100644
index 0000000..f5f911e
--- /dev/null
+++ b/testsuite/issues/issue11/test_nor.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity test_nor is port (
+ sel0, sel1: in std_logic;
+ c: out std_logic);
+end test_nor;
+
+architecture synth of test_nor is
+begin
+
+ c <= sel1 nor sel0;
+
+end synth;