aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue11/test_nor.vhdl
blob: f5f911ed430008bc284bc536f541ac6b721eccb8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity test_nor is port (
    sel0, sel1: in std_logic;
    c: out std_logic);
end test_nor;

architecture synth of test_nor is
begin

    c <= sel1 nor sel0;

end synth;