aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/issues/bug-loop1/loop1.vhdl14
-rwxr-xr-xtestsuite/issues/bug-loop1/testsuite.sh11
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/issues/bug-loop1/loop1.vhdl b/testsuite/issues/bug-loop1/loop1.vhdl
new file mode 100644
index 0000000..9d512b0
--- /dev/null
+++ b/testsuite/issues/bug-loop1/loop1.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity loop1 is
+ port (a : std_logic_vector (7 downto 0);
+ o : out std_logic_vector (15 downto 0));
+end;
+
+architecture behav of loop1 is
+ signal s : std_logic_vector (15 downto 0);
+begin
+ s <= a & s (15 downto 8);
+ o <= s;
+end behav;
diff --git a/testsuite/issues/bug-loop1/testsuite.sh b/testsuite/issues/bug-loop1/testsuite.sh
new file mode 100755
index 0000000..3ec2ece
--- /dev/null
+++ b/testsuite/issues/bug-loop1/testsuite.sh
@@ -0,0 +1,11 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+for f in loop1; do
+ synth "${f}.vhdl -e ${f}"
+done
+
+clean
+echo OK