aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/examples/test-icestick/testsuite.sh
diff options
context:
space:
mode:
authoreine <6628437+eine@users.noreply.github.com>2020-01-19 03:25:43 +0000
committertgingold <tgingold@users.noreply.github.com>2020-01-19 04:25:43 +0100
commit910073d647e55d133494429d8c3a4bacffc32428 (patch)
tree6b1e616a1f670d44b03c1239ab5cba8aff15b909 /testsuite/examples/test-icestick/testsuite.sh
parent175123cda990ee2b5cfac461bd8ec44956da302a (diff)
downloadghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.gz
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.bz2
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.zip
migrate from Travis to GHA and rework examples (#78)
* migrate from Travis to GHA * rework examples
Diffstat (limited to 'testsuite/examples/test-icestick/testsuite.sh')
-rwxr-xr-xtestsuite/examples/test-icestick/testsuite.sh2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/examples/test-icestick/testsuite.sh b/testsuite/examples/test-icestick/testsuite.sh
index 025e8c2..7184fcc 100755
--- a/testsuite/examples/test-icestick/testsuite.sh
+++ b/testsuite/examples/test-icestick/testsuite.sh
@@ -3,7 +3,7 @@
topdir=../..
. $topdir/testenv.sh
-src=../../../icestick
+src=../../../examples/icestick
# spin2