aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue65/latch3.vhdl
blob: e4518fea5fd4230d1307026fb0d6d24a53837e2f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity latch is
  port (
    signal clk          : in std_logic;
    signal data         : in std_logic
    );
end entity;



architecture rtl of latch is
  signal other         : std_logic := '0';        
begin
  
  default clock is rising_edge(clk);
  assert always {true}
    |=> next (data = other);  
end architecture;