aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorumarcor <unai.martinezcorral@ehu.eus>2020-05-08 11:17:36 +0200
committertgingold <tgingold@users.noreply.github.com>2020-05-08 17:40:30 +0200
commit763e2444798682f39e1e43397b549629372b47d8 (patch)
tree70c2874844735df13a320eeb0511e42ebda6c681
parent203564db51bb4db5b9009f122ac4823d6c499e9c (diff)
downloadghdl-763e2444798682f39e1e43397b549629372b47d8.tar.gz
ghdl-763e2444798682f39e1e43397b549629372b47d8.tar.bz2
ghdl-763e2444798682f39e1e43397b549629372b47d8.zip
doc: move 'examples/quick_start' to 'quick_start'
-rw-r--r--doc/index.rst2
-rw-r--r--doc/quick_start/DLXModelSuite.rst (renamed from doc/examples/quick_start/DLXModelSuite.rst)0
-rw-r--r--doc/quick_start/README.rst (renamed from doc/examples/quick_start/README.rst)3
-rw-r--r--doc/quick_start/adder/README.rst (renamed from doc/examples/quick_start/adder/README.rst)0
-rw-r--r--doc/quick_start/adder/adder.vhdl (renamed from doc/examples/quick_start/adder/adder.vhdl)0
-rw-r--r--doc/quick_start/adder/adder_tb.vhdl (renamed from doc/examples/quick_start/adder/adder_tb.vhdl)0
-rw-r--r--doc/quick_start/heartbeat/README.rst (renamed from doc/examples/quick_start/heartbeat/README.rst)0
-rw-r--r--doc/quick_start/heartbeat/heartbeat.vhdl (renamed from doc/examples/quick_start/heartbeat/heartbeat.vhdl)0
-rw-r--r--doc/quick_start/hello/README.rst (renamed from doc/examples/quick_start/hello/README.rst)0
-rw-r--r--doc/quick_start/hello/hello.vhdl (renamed from doc/examples/quick_start/hello/hello.vhdl)0
-rwxr-xr-xtestsuite/sanity/005examples/testsuite.sh2
11 files changed, 3 insertions, 4 deletions
diff --git a/doc/index.rst b/doc/index.rst
index ede665fd8..8967207fd 100644
--- a/doc/index.rst
+++ b/doc/index.rst
@@ -96,7 +96,7 @@
:caption: GHDL usage
:hidden:
- examples/quick_start/README
+ quick_start/README
using/InvokingGHDL
using/Simulation
using/Synthesis
diff --git a/doc/examples/quick_start/DLXModelSuite.rst b/doc/quick_start/DLXModelSuite.rst
index 0cdc8be86..0cdc8be86 100644
--- a/doc/examples/quick_start/DLXModelSuite.rst
+++ b/doc/quick_start/DLXModelSuite.rst
diff --git a/doc/examples/quick_start/README.rst b/doc/quick_start/README.rst
index 2b1c37307..d599ba631 100644
--- a/doc/examples/quick_start/README.rst
+++ b/doc/quick_start/README.rst
@@ -8,8 +8,7 @@ Since this is the user and reference manual for `GHDL`, it does not contain an
introduction to `VHDL`. Thus, the reader should have at least a basic knowledge
of `VHDL`. A good knowledge of `VHDL` language reference manual (usually called
LRM) is a plus. Nevertheless, multiple examples are provided, in the hope that
-they are useful for users to learn about both `GHDL` and `VHDL`. For advanced
-examples using specific features see :ref:`USING:Examples`.
+they are useful for users to learn about both `GHDL` and `VHDL`.
As explained in :ref:`INTRO:GHDL`, `GHDL` is a compiler which translates `VHDL` files to
machine code. Hence, the regular workflow is composed of three steps:
diff --git a/doc/examples/quick_start/adder/README.rst b/doc/quick_start/adder/README.rst
index 5ff607801..5ff607801 100644
--- a/doc/examples/quick_start/adder/README.rst
+++ b/doc/quick_start/adder/README.rst
diff --git a/doc/examples/quick_start/adder/adder.vhdl b/doc/quick_start/adder/adder.vhdl
index cf60e8fbe..cf60e8fbe 100644
--- a/doc/examples/quick_start/adder/adder.vhdl
+++ b/doc/quick_start/adder/adder.vhdl
diff --git a/doc/examples/quick_start/adder/adder_tb.vhdl b/doc/quick_start/adder/adder_tb.vhdl
index 4a3fca5e4..4a3fca5e4 100644
--- a/doc/examples/quick_start/adder/adder_tb.vhdl
+++ b/doc/quick_start/adder/adder_tb.vhdl
diff --git a/doc/examples/quick_start/heartbeat/README.rst b/doc/quick_start/heartbeat/README.rst
index e95145095..e95145095 100644
--- a/doc/examples/quick_start/heartbeat/README.rst
+++ b/doc/quick_start/heartbeat/README.rst
diff --git a/doc/examples/quick_start/heartbeat/heartbeat.vhdl b/doc/quick_start/heartbeat/heartbeat.vhdl
index 0a312641e..0a312641e 100644
--- a/doc/examples/quick_start/heartbeat/heartbeat.vhdl
+++ b/doc/quick_start/heartbeat/heartbeat.vhdl
diff --git a/doc/examples/quick_start/hello/README.rst b/doc/quick_start/hello/README.rst
index 104a12efa..104a12efa 100644
--- a/doc/examples/quick_start/hello/README.rst
+++ b/doc/quick_start/hello/README.rst
diff --git a/doc/examples/quick_start/hello/hello.vhdl b/doc/quick_start/hello/hello.vhdl
index 4d969c6a8..4d969c6a8 100644
--- a/doc/examples/quick_start/hello/hello.vhdl
+++ b/doc/quick_start/hello/hello.vhdl
diff --git a/testsuite/sanity/005examples/testsuite.sh b/testsuite/sanity/005examples/testsuite.sh
index dd41bbcec..7ce252fdb 100755
--- a/testsuite/sanity/005examples/testsuite.sh
+++ b/testsuite/sanity/005examples/testsuite.sh
@@ -8,7 +8,7 @@ if [ ! -d ../../../doc ]; then
exit 0
fi
-for d in ../../../doc/examples/quick_start/*/; do
+for d in ../../../doc/quick_start/*/; do
cp "$d"*.vhdl ./
done